From ee756a820d11a22dd0922486f7a296f70f4c9b8e Mon Sep 17 00:00:00 2001 From: cnlohr Date: Sat, 8 Aug 2015 23:02:39 -0400 Subject: [PATCH] Update with make-all-the-leds-the-same solo option. --- embedded8266/image.elf | Bin 465188 -> 465640 bytes embedded8266/user/custom_commands.c | 9 ++++--- embedded8266/user/user_main.c | 13 +++++++++- embeddedcommon/embeddedout.c | 37 ++++++++++++++++++++++++++++ embeddedcommon/embeddedout.h | 5 ++++ embeddedstm32f407/main.c | 2 ++ 6 files changed, 61 insertions(+), 5 deletions(-) diff --git a/embedded8266/image.elf b/embedded8266/image.elf index 76d2887e394a8048e992e7cf30d9ed93a77faa67..d72df9413456bd8faff0fc44d55358b636bc1552 100755 GIT binary patch delta 201845 zcmZ_030M?I^Ef=!yNjT+u*)U4JHrACvdS(Vcp$R~iV6yN-++c_ywI3vj0Qv?CTfC? zrqvj;o-sz_fx5;c0Tt8)jT#e=cp&lCC>~KjV87}`^SNTd=4pZZSeJ!b*hY2$;zws5H`q;-t!ir3gPE{D^QE;ZR-kZL^xtp-aRq`lh9%gXT83aRM zs8lM0asbRMNmG7EAfx1zldMoDA8d+CLgzQDWyBlMVTl`s59FJ)qggt7#lq~o0@z2#D zmL%EZhM}bUi?Fp#$mPUk1oX1U1z=vPn#-6ig-V`D_PFIZ0k$Eq8M7zF9=8(H9gy!L zmP1*j^Zo2`l}Nj|5T#GI$K|CV55i7_Duj*LYkMxovDvn@B}#7R+Ht3m@y*o3ndX>& zIQ1MekIS>$*;M56IBq6Z@Pn-N_0O#uYLBxabss_%PT>9QISiY?nyw(QNnL5sOIDoZ zdok}4igX^KYPdb_3_jUB-iUb@@p%ZJClIb6)F3Ev;E#FhrR4~vee{x2(o0w3^^#!- zzC11ug_q=Se7y!2e2NPuUaQlWX3ntZiQ0Fv(yjAKbzG8@B)!+W<8#YYdtVXpE`%rQ z8jcg*vAdU5A;Cagrt5SwF(q0!;VnDw;ovfjw+rKCt=|}RioKNMMBtDK`pI+sK8*;s zUs`%^e%*AJU5(_bf?s>as4MNRE6-`+ozC&DMK}#gBXwqL%kuGZl)snz)E1*jshH1i z&|NJx^1~r9OCIXqL)XIYM7Uu}|F(`5&E6#M_3s_^s0kZ4 zy2h#_mGF?IC%6r3bELAWv{R`OX~#QWfLm`^Qh4}FjtuCm{-f!t!az*FH+6A`n{rOT zj`(kzM!Tk{jgXaD3i}-V0DIX}TvzNTLJ3Qn)-^e>uCXbus=N01^$YtxF|R8&x@n^G zV4Iv7=w)8flo3*#p7|53V+GQMpZo`B@4YH4ZGyFq%GM9lhaN$Lz@ua4O(%II@rIOcxHCW;dnqMu1!g3&2N? zG7h2VvZU#qrg-6Cv)tWPmr-g%Ukj63=@%WJc^GCQlbjIL+BB*O1;hSwbn3 z{-Q9d3C1_qT8q+UvLwnfR$d$wn48)JL;sUEh$V%jCP;VCghP<}n!7)H@?)L)y_Q^v zZ^{x5wtA%dNaKIUBsHZA`&+$8QBQHz*)>L(fr3enk^ph+nJ)ZbifQtH{1mW1!CmRZA?B!Ml=hDE#6I0!MeV&7NP0fRSg*Ayf*$VM3yOd%j?^OM0>qq zY-&VCse7KqrRm5O4QLphb^q$mo$ec78Y@SMz%v@~a($b~TxhB@H4+1VDea!cW%}O= z>CAKQcn}&JO^uCE)5sdAZ-mDzVVD-q3$=~+2>v^l&Ot3BI#1_GIDawiMCM&ft;xMi z$JQH%tLJ6oJCR}+nGpiov8Psdc!mUQrtwy-m=*$|ZZiEZ+c3ni< zE38Ibdfv-g7}TQZ*nr*vNRnrKPtNk4yhLcN+|`)2OWq>XOYb)F3Mb&q37mgIScjJ< zreDmwn^tRi-49_(qkCBms)%@zGw*03nTn_m8i&SEDL7MhW7^wtwb%|u$=YCVzoD%4 zSl-BYwZwaJZKL~&I^FZ^k{VO0YzdB3CN)};qH5Bcjs`vP$0v+~ooKaHlg)_s3dV$sg&Bz{%V6;Vv&5cn@VrU6ApNmX@rA}HL;Go@|)@b3@wC@~RM> z_t6Gk(On5g8%psNlp+#ut%0B9Uqjk!N*FEird=p$Fzskqx~>ER*Mkc7et6zR(<(oCZEH9(y`XZXYH^ zXEbDGwoX@ZS;y?@nLcTw8#r%?%UZ`MnO-x>8`Cp2X~P?4Wcuc-xTn_EU%Bq;Bd-t3 zF@E7RL-5ZM0!EXJPC713o1Ph%xWXx22#gnk@`X0b1YOuBRr>FFy?{$=FUJ|WwDfMs zcwd)p<#xHs`G&FnFJ9>krij6)c(aLDkuR4Q21p1cqthPC4F+GhFMEgU;fCBT+~9fV z70-)=n}7b5n5pfRj3bwMMxGVE&h33C6v4Fl6}J1%IFn5di)g1@{c6{0d3Z!Xmi#KM z_*MP$%;Hz#SZ?m~`yui1T-Q!K5eIU@+E@3hkM!sKuO8n{oc7xND?_*WO)q@4tKe16 z+~S`P&dBuO)w?r2*YALe7j}FVOI}$%dbJEkZP6>!yjSZn@!6}g+46-588YQbZG%u- zecCoGc$5=PuXL-OSK^YuKeKgfShTNrBrngb)diPEmK2VV&$ktWhB@g{pT{E~>BTH$ z^NzM%#eZ3b$exi?2l~D`l1XsN;Fg4oPb!@mP{4UeT!(d>s4XAnWb%I%7R}>-tX3yH zcS762SnLOp+BMr*O_0u2AU~I3n*QZs>9gN-Kygc2Q z?ea(cm7_Sumfz(nqYmO_XgA8$R?jQ)3Ac1#y-~4luRN(;{E*E^GN*IGruu--keyeH znL6Q<`X_7Z5#!t??$NsHOyO^Juh!i09>BY1()#Jk>hFhQ?z%7P=fsLVQP=9MJ&N-^ zP=yL3#QaRL+$byB56V3$Odnp)^Ye$)yO=I%jeIP>YzOduhxweI#aW)*r#<79+}nrT zRu8(`D)q8_CUs_Ng`BFF{iCxtTro|lN0nk4Sl?H~0edr4iNQ{0s~v|gg+E>bDGEd+ z>3QKVcGJju-GrIxIJ7zMnXpl%lY+}d(Xx8NjGn|lp7S;M=# zm|#$SDJoc0sz?^n>v0LYm`)0pw5OPshF5i%+buFyUEbRMzvY*$vleSht24*{jrLD0 z(Z%bYW{xA7Zy(9bK9~7c;XzJ%5?3#}acjh~9`z#64O!1Y5^IZh7n8YjZrl}hSq#hY z&gY;f%Q%`g7s3UyaIDY0l_DYJs`Pove9-?9s?^=6#i>iVeYVx$zh;2aHCTQ1jOwPsH) zD(ZP>cj9!#F1F)_5LZLcklku&j-^6Jk%}|IeN|W0PFI?&gRfYb8N!H& zN2Zr`InvCWVKZ|w-ZQ%9%zh8PXwDlM@hHjk;b*YBDsYg~@-Tb(@5)E>&^?WU0}HQ%6pyd2$w<+8hZ zGIWx2&9$VqR{kJ*V334)NeDieKRHS-VfjlqQ(UyC2wbU^??^63s1 z_(0ZloDHMpPdY}zDS2N`{8#>6voqS-J{B5Vcp`_M8N?UNJ zh%;OijQm~GiPv-08puh`-(&-|F%wwruJ81?c39UE88sPba9EdkjGV3Qzanl>^>l*Fz z8||VWx9mg>TNuy7Y(%*JTt3n>LY<1WcuWiTzdHf8vY@@ zj6x984>aq@(DoyfV{vUQ#X zr*$>{?;z_x>Im!Q5g+{=lyh4WnWdcfzBVT~N?pUA(NPsA>>Sz~6H4N9EdV}pL7u_O z^>$9Mu2-nTqPCzpomn?M(^)Rd^962&x|Q?0ctsGb2@-|u)2D01jRkJrUeMPuhdByUcxuva8BXBiwD{%<9GiXR) z&?f^m4h#6Ozzz%W%*6)s5Edi6iOjGd(sI@?_F@e_|2rte;yD|!j*Up)g0Kx?C&C_t zLkIzwcMP9-J-A?w#U(v4o;eC317SQu9*#A}xTNQ8G*W<0p0mO)*At^RS5WfIAl%7( zHIf1G4*0x@k)JB0Kljl{EE=>XNF%XeREGeKv>%6J4?Y*W;z)*Qqz26IfaRX!vpYT? zVp$2Fj;8TB0l^2w$-(Drggk_W2rHN2E4N}|Bf?~aJqXUIlK11Y2B85#DL2Jw5F!ye zAaqAaMo2~A15vBvGlQi^*yASPlf#BISjSvU7a-K4P>b=o9KnjP4Pj3oPD;AYNwpE} zF%+82Ni_)jG2Klu%?A+aifthf6@YNMov5e+4+Yt*i`N$FZk*mNGy5u)e!J6&vr9g z3*t}d{h~F3E^&gJXl;lEjhI(HSswfKVp8r|^7q%31e-Xp-W{7bEuY@dos^#|;WzeG zDOFKKC6D;l2r7Bux9#Fp5k%Ghzs-=7LfP?pp`t#(6N6sNCRxQyrL?2G z#o}=hle3)Ap2av$?`87yZ}o6l_T3ths{zqEB}^vhMRhj2i`J|#j|kB^87UR*xFToZ zMC-c@DaOS6jPEEWriOWtB7h=vE%gw=8cQLe?n0>8(#V@u)eq74C?Z@yVdjeZUd*KA z(yg;Ii|f(#%ly0^)25~MMt-6t@ok8Zk8Je~*}!TLQ@ARF1+2|!sM61bubR`O3gdjM zmwgw==fu8&u9INTX>_;i~JpI3%UD zebn1{rbb^}DwbB1al*KI)42L-cdQ6)+QbnMaiyy2`O~XP%b0rqcK2_ZT{&GqEF4dgp zn5@~m@54c6b~k$!-Os)D1FGwUsyYn8Dw*`J|LzsOUW+f4=*LA@f zvo*c*vYBY}Gk!r400ojM#5@kqlklA=ym$ve}jK)wt$@zW45bp2?X)yxo;gfi(D5Y_VF++t1CR~WZ)A^oOGo#y{~X(=v+nW z5*IfWHQMp8Za-&PQYS2`i{<;KTOskN;_Rn&7@CLASk}@XMW7=kg?mTsnKNtZrAfhmsG*p5C}sYnojb zAIafNR(XKYwJ^WQz*puAGwbF)>q2_zxfA5c^tvK}ORsEcnpT&hGP1J*$1K0S(;Hp0k9PhYw}xdqg%a+` zj#|@>T7z5Ld0pI1fpx|f>6xY(nNiTh7-k4jDa6puDMMfKT;HN0se(WxCKdJ~OT?Q(TR1i9g|KM7f;2H%POFRc6L|gmAGe z3RcN;_8R*xVZ^gSZG4#q2f{6JKVuJTidDy#MImZqXlN6B$=G=J^3x!eX$m`$#GwRM zF`CJPjn*E{5B`ED(JyT7)3gFMP(Mc;`*%_pex^s z)9S|GFGYFfJ_A(BW&46*zkF+72>c+ovUSKk`qIc3e$CacTNXw z9fE%Ul1gEJK9sADcF|6DG+SlIlW|_jd%-($#IYXkIatDqoOkj0A%dqU&pEaO!w3=O zdQA{3g8L=E!BHYm9#h^Q;|$x&BRe)cW1VfhzZq;6?^_e7 zk-7)Tt`&iSw^<%)qUUzL046fTH1}DI!r&1T4Q4s1BFbw9BO1V2&_#B9^S;K*ODaaf zbNOCH4@i~`$G1R$eD?T6Xq3C12!!wD;U^;Dfn0Q=CmwhGd}2L@oTi+drIw$H84F(5 zog_awd7MNB%Ljh$DDHcTO$gsNnQH9u0tY1ybZZmJA8$nu25`maiQ5K=&j*J?7vb!;7Fx`AdMqYjD zsCMlWHWS3&$es7ouA==j<&&rts;^D&oP{fz0QRitDE7jQQXtA3nVZCY1E~ zbtLeA)x;=H5yxn)ly{yfRVnf9)ynUkJ?K(<2rpr5MGsi+a;|U7NyzA66XYf5e)lb` zVS}0(i)Y-h;B{J!X_NfnZ@u&ZHO`!KRPUVxPZVOIt%yb;UdzY_KgO93XtJU8a_hHV z0CME{aJQF_am~aiT)@%5ck=l2QDJu;J7W)=qeet`-l@d1Ej3mYaI7sxT=U`PW2`1- zI`1W1l@FfxgFodz&L{HakN@q;969Xwo*`=(TdbRSN|&sJRSXeNW%A?AS0Ic3HY+8= zd~_?w^5?(zaf$p90|p2&@{Qk9BPKPoVkz@=h52X>WWO$3+E8P1Ylz=8xNmgVIDS0N zijjY0sz+nHN2EN5?C$c(KZ1jzuqr){ULH=>Zx9R!xbE2nrwqRz<(3x$@Fj#@(0l4x z#n?=xPQ0oRkK}X_pp88CLTguFmJuTe*X$^|w_I=`u8R{R#qz?=SNwSKoJu@0I06qB z7!jT0toSEcQr-#6#k3gh-OOx{K$9Cv&j^Q+sJ6Hvak32QS8T)fdE^9y_0XAdJ=0A)H=Wo;U!&Grg3i)gtHXeBhvn?QlHC`uN*c)_v7cx*>Tr5E$;bYx#2tR=&2Df^K6O(EhvY{$PZNHN z{Nt_O@V@MHJ2Y@1t~aKCmAak_j2I)|i35ZxTNKMs|H^%CN4rgVkR-$?;@>*|digE7 z{R27FUhZ|Lop;^?Y*IM(vb3^TS7|*`Ir~V~K=?@h^v?TE>+zN3jgy`3K2jB6vRJOW z`x4g3Pws_zPrr|)(EQ>FTG&Rl>8Txsoh-Mz9{}U!e)rR~sf@w9hjT11o^FqM$@2F5 zlBPSOZoBq|7$Y~{kAns|_CbI6TweTO1sG-V;S6u4sIUW$jfBPMmm`cCC2xHg$$x$i zYvA3@8zV8UUj4AGOI|Yp9W(F0MVIqzso)!)D_j1~z)KhF|K1H&dGMoIsHjgp8XCXf zppo*hFQr?Q7@g2d+b~_TGgPYDg}M}5*@nRF4wX1N$BoBf9i+s_ZWx9yaZ_H2u}3LH z&VEcyGg+gm^LEji2YGC>w>aNRQeGt|Iv6}-c{qF*Noa9fnOCoi%*V)CyP96;e!o{U zD!4l<8*uCtIr}A=sOXh{&1OcDdf)g~Ml~~sFbwj%CM=*W<1_N+HlDmEZjRv$J$vaz zmi67~cjV4bHo~fsD^DUJ#JUNOg-jvRO+2h>*n;Ox8G5M#mryEpBL~5XuuV2Tn+|Rz zUqAa6pjhtnPc9yho&0B<(*zc}oFkiG90gH+@!|`3Ub5unB!H@tx>{erIK4-`2~Qak z>j%Ow@~Zlo@O_Evs}G2F>@Dv@t(>ofazWjXilsp?Mqb$%41?uEjXhz>vZj5g#BEI% z;8n@z_D}^Jv+W}=LID?TS|=DvRL6|Q^j{)*1)l)Vnt41ONKG^1<3JuZBglqp{$4XOncY~)gWC+lsX-5SX)v0hME@N<;0gyIlD_8# z0xYsoH~56OWMDHVaJI$JaCaC8d32sTY=9~%ctAX?q~kqc1^~U_0Vz;y3-N^C0cO)z zUN980=s<7y4U9CbHFR-naUCVdvNnZr6p zSH0c5;jX9)!y0?*pbym0r8;bOBi*fo{w@i44$96#yHghd7T^#p5x|vz#I9-zuYNpfr$xm*EK)E~$h1K0-E zWON{SiI2zpI|shFE$fi+M_?_1zw$fYylVQ)bFZ&*#_i|#bvnsH$_DeB5ySQaq%X{;M? z=V{NLFxKbK|K>2yxUekHkzH*&&=Vp6tn^j_B&drR7sf0$V8m~hty3b7F6^YcdckZI zBceBKQh4<|hb&2%y$`vTCX^ZidBx8n?58*T!gx4C`}BjjP8Zo;!MSQUp2I(gtoZ@W zUZg$7XVt!@xO<*R77%QBw8VJP%T%tQKlVdM`8`_G4-P{m{XQAOp^RQkhPJM2&z9nR z%M?Dh8{FYbs!f4F_>Q(ufw?e~Zc71QD54c9a37-SuKwT;3G`Hdh=eY*zCX;vnLT>| z1c8>)0bugVIe>?MD8w{;dg2kc4k49Z9RQtSGWAM@ci<%XDoap0J=-Kz=nRP3h)@Q=9G_E=gK*xj@GgYSb zcFP8PdD9Etm2dUK*%xaAYB0_bza}1vSUoXk{U23T&FmWQ-=WLdikEgn8mhdxY1qT1 zbafg$MfI{I9ebEUze$I-t>Rgkr55{Hf9_=y}h2pOyPg-VLIHXbdOhv%?I|L55U+HL=Kl_8-blQ&d z$sveQjmpijZ_6yZ=oZ=&4#&8`wqhuR0VLZF4}$~fQ_$I?VK=^$wqxLJNT>1`I1hK| zgt0IL&eEU8LZoX&<+h!oyRx%;DBHJa-B{4`2P%tRu_{qR?y-eufDNFI-pGVtqC7~Q zvS1of^|sB*f?Fz7k)tPoe@mPnAI!jfF4DGk1}+6M!AO687v_**Mq96$FodXdxD)TR zt$QD)0F+X<**MZRTc_EW19 z!}4JzKq7sz0HU2bpm2wbwul1Q3GlV;&q5fF$Cfr}A^ZSPPU}B`Mxy$O)_n@?RfCXs z6g4h_NpOO$T7)B1L(3LHuq(U#!mhipi!LkM)2EAYKMbMLVmRYbjz$h%B6iW%rQwY? z(>=?LhctKz=p$y7;gFvqygC=B11$JjHvr2Jbm$MJA<)>oi^Vz#>K-mLk5@ z#*jk9Hr|}ZbP+9ZBi`7i-+u{XJ*GIyjo!A5_gkM8iG{GQx;41I?%BGofnJ~DM#wm4VnzJ~WTgIKOO z+34+#(eX-1rMXs=sxMt-h0HGd4`F69NslzfU$YPMir4q(Qx1`=L{_A6g7=Nze+_?u zMh<0cGa`*CWOnOVSHnx#N~707ooWvsA|0Zp^)STs3}ZpR0E_yz*PH?oQhV7g&XvcURg#Qe<}hbH*ifm$a2 zGxz576kFyuU{bIJzZF8DkL`!8@BrL3cy;RcTW&OXy$grf6_unmr zh@}RoW(0vU1I+P?svNkwqA=EOd7qB?9@gWA13OT;&ZEEYz=c17hVO()DotpJw9>X} zCz^rKi(cFXsj!WP?}nc6A)T@t=EFq#csGi3p7tq$H29Q$SpxmQ!*-_x#uAWe?|o>p z9Hj^LK}M_keV@!9>Je<@Eu(0N4gT`8;*5;9dZX7*GC8!WPHL}WSczA_M4PxDx+|a! z9eWTy9oV=Rg~!7c!+d`HBwS4l#e-DC{C+01K>lIqcc#~wPZ?(9otK_i=U#?)s0{P5 zAaXtYAFjK!`ymkAN9;r9wmrnkqJQw~<@Wh&7r%pGN9!)83_7tiGqISs(NuFB{QM%DX^aEHS=y0{%%L>l zIL!8Q{;z!1>-<<(WG3{F<7iq3(wE0UjPPdC40G8zSd%xHNi*EVCIgz0--+PIuvlQ2ctnG%qu>{~ zDO}x!RP*WfubD0}ly_||#MpkPpH$+|UZLA7;Y-*=`<#MKKxy77Fypi-IR&YH@3S&^ z&DR+&izl=f1xMUfw?9-Wrh%t%a!#P9PDAU6al6unH=@)rEmNa4QZ(yOmzKhqUHEiv zspD9OTw3njxd%qtTz>^uAo|0$pfm6}fn@sqIW!}>(3j`Xl?bK-enSm^&(`HUvj&xP z&+m|r6T0&suvI;7$Nb^1h&aDKkQ$a5DC_J^Bo-wVngwpE}>va?J ztCB;cs>vaegl}&c!gzY`I_~Y$7{AfY^n|fbp?+21m;4r^otqq9oxG(v#_tRUtvI>byT;U{-C!hRa7=X_B5SDAYWH$`pm52_cc~$Vt&5KbD zfCJCY7(8kI4QQu|91tQ+pnGpXt||%zOrl+?p#xl?Q>#Jmd1@P$NL&m;dpte}auoZ8 zZmz~{(?TP}vEMb{Bx#wAW1-fU4$;o3I(YZheOZE0kQ47aiX!ro356)3n>T z8Z+o8w_#GtHK;9fa421Vv^CuZPk_tR<1VD*PLO>Uj(LT!3QiGkb4!dQ3R+yL(P)#z z-GldaHCr+35})~F&Gcwny7%b(ux$UqC!LSHot-{pG(6Z!YdRB8dhZ^({8pRqeehSn zDBAIFc-r#rw^&lF^g(G&k6@Jg*JfNPX0}34X7wZR(^N2$Vb`0DB@Ua(Pj8j0&S!J zVJm#F?cxg@Yw)7Ewa^th(gU?{z=d6&_Bn!~XgaSBBHKUPjCCY|lu%rnV2wWy@y81K zXyYdjgvTtypj8EM0-B8va0Z_+K_k6bhdyfqeXkyp-HtF8biIv^*H=o<)T7t6fp&WZ zDdEr2oaH@uC5v%8E8=Kd2oJusX~MKi0&(@$e_Rw2gjCXj^O|0(9tv?{w@o#7sUv}=zBnVc-L&iYL<4k64aNu7ge8iu49B(7`Ijw|y!Q=o?|yhiEkqcPBkzJpIa@1VNJRkUMLjHPv{M&fj-0n=jaL_ z(k`%KEsla%>CNlSc+=!)Gv>pv4j4$N@*&+ZeiGY(T!V-qAnhw;G9Rh)mYwnS^=BCWytn&Y zMEcS6Ae1eM9t11G~*h$#_(PWcJn2sEFMF-m+5;tLUDqq1u_jymU#j( zdM$1)<(+ugE|vvhysd0Jd`y25$Y6Lty+rbMo3o4q)px!!Mk^i}h9=xVMu~xbk;%vK z^?xanbnm^*OqFJy$E_j;7KzB$i7J9g51fPX!DI}Oh84E;dQu87hK>s*r?5+=FwzwQ z=;Sc+nS1T(W^perWuJMX_c)dE1~SR*5~G_1Z?Vjqt$tc$Aji;p>=#Z_@HMXrC%bT# z^ot-S7)Iws5JPx>R$%c@cu-ntLHnjJL-)^*nZK>oQ^D(D8?ka+Y^!*|2iME#2wX3F z=;H|Diz?TpExv~^DzznEqR0y2zy;umBAAaJf(+8%0YV_U55iLG@cc?W~$G~Y-<)Jqs2Gq?iqnkL<2B#{{XxnaZw`h?nz zWIddu>)Vk~GJX#IxgEKQk;WbENh5A#+oK3t)3*0aq$MzS*GxRoPg`Loi*dgfqsaln zt+ZW>CT4)$Hf=}Jg}_={zs>}s8pTxA1=r4Y8rFrRg9n|{g=FCY!qqOM9}KdEcO`!k z*hf{dSd~nd#gY+Tb6230lRo>j@~&y|ud#0IS`9`dp$EYjkIV!+q^)pAx`z;U>SW0uOoNfzvTF(ap_A4JAj&<@*bA>-KUh$nL~uCOSc zShbOifiH-eZ%7*%JyJv+*d0GKbQ2gkW~m`9Qu(I{K{UH3nSsX+m)K(rO->-6V-Vv? z0_gw-n>GI&k{OyB1#OadVe0ppOUwul0ae^y!QZm`%wwq=D+u#l=rjYUA zX8SmWv1vc(E%jft)Unh&8H;C1jZTpY5);b85Ou?+k{k9*~D<1u1zET0_HJBVUazO zbIE@lXWV%lKgd8RrK)t&5jAhObmHrp#Ta9>!aa7hI+kXolL+^_X!W7V;P@05ysK3h z)LWZQyyMJ_H~U(VldODF3rf3Jyb5w9Ex%AO+G9^6YGpvf;UBrHmW@%LZ_zE13`*24dUW3HEA+%rw2@XwpO=7=@ z(U+z1o^q@!BE20Mc*hsh7%Cq@I;%3!q}WJZM&ib%xAhx|d$x-Gpi8pt+fg_*;j(S? z7!rUVFxci~5^qqg{53>6PFG}+ae;dlW6g#qrkkv@yI&)l&pA4~gL;iatrkH$jUypG zI+iJxg_tX&cAZ?wkKf(4XtzZVe)QdOYU0d)4I}b z!LPU`VS0i_IzJiVLyg2uqkFC!F@-d*!KU;vGgiNvxD<-j1xv_1AbUQvP5qqo zC2AcOor>@l_UnwTd?{%Kc#Lp%8F}fl9!X}*im{!QQO&_U>a>DHwEP++J&swfzu3C2 zATx++1R`tbE=pEnJh$&k;st1&Wvn8@Tvj6MAgtk?ou_J~Gd8di zUj<~@{5Oy%1Or3r&18W~@h|AGENF_mV_UVEOay3SQ*0q0DDVtv-ghJz$9CO!WHfHK z_V0*q3+tRxG-!>sHrv=5+(lPxCm)zZM&t>T(yy=sv_+Bc33YZ;03ElV+)DAR z4v~`kgi3k65iEG5^Jj=O{#uB1UKJ|ooI<792v?*~Y35%@e-Ix*y4x;7(WkM7{>Fbu1;1iK;V} z9cc?cioenY4L0L(@|Oa4X6-LzA;j5M|3YT7+2nDGMBqVTk5j~~I@2{&nrnOS6d4J4 zV0!j6DN(q5h~qT}A=S3z92r5N+IIImnV`a7$FikgCh1Oi#`eY#8O8%Cvy`4}OqxQQ$CU(i}Q zx|-~5W1oZtikvvenjE&X6*MqZ617f*{l}fp^cV4P+K7XcHjeiF3+=nXbpBuDC2k}i z+$3$$aNKm0T)_Zf{w;J`O6ecB$a>XG?8bX^-fc3*eas}&9XsC2?a58QZC}5mRG35m zzK!dsD^0vZRzobUxn?75DBD{FIPM%Dr}J`fgu{E5rNk3mh7pODVFh6$LrYlEG4UfFGxu;r6)yM6Kr z)H9;95L0ZaryHJ-5R07=;2!&LDYnPJFwfx&x_H7}M(AZgK|>77(9AD@e;kb09gIbc zQICvzjET8CCEaqX9JxO`a;LN04w%~kPBH?X6=p9GSvCAI_`|_i>R^1EF(x2m0{p}X z&dtASfc*wXzkhM??sf2vV!TS^Rl*)daC&YpzJfapQyBM~TE?9@i-*UT(T1X@o{|KN zs<}TS6&TRF^o+DorQ%okHMH>=zOyGb-{)iq(UiT7m89_aS(l>xumZQS+!q*6z;}7$ zCB_2ss8=mHt~yi2&aQ9NlFoQg=UYb>xK2RrCspGXhF~?(t#znXexuR#WQya1{QY!O zJ=u&=_T*Qj7arWmuW+C1Nl&~YNnTsFqT>7sf2R+Ry@z3O4W>`fkOmUgdTcfdV|2v} z;sG-6Wgf)g!xK&XaSiC(^rAZ&aM{Jv;|-*nkBPD34aj&aV2q^HZaQu^r*hDa`Zc1C zE}*fEWOPs~#)J_W{DM(DavDawg($C#CA^mba2fA!L>=FO`ZkeVOC`%QXjwdX`ub%P zPVrtj*}93R-~^*PRoTT;so?-e7$zD$uujnaPi;TaOHH_BG*o3Lor&%S?O`X&)8{cB zw0=KiPb?H~bjK4_qes=?(h;JBhl0n)V@9(`$TpL)6}8}uE->wN$tlfGvvs1w44kw= zuh`WxmJx*kcIdj|x?*fg(Sdffqq~p$a^CVa!U@yX4iW`$xB-YHwFP~fd0PdZzr z$iN@OpqEvOw|%R}VYRQvZGZ89#_bv%;iTy2f0AXt9xxx4{&)C~(NZTx6kf%8;-nY= zZ&6DN#g8z0pR-~ysFJYVyL73G!Ut~!Y;{ox7}BhCQ7rVlhZ!j>qJ2^jezCh~`>+Vu zgqDgbcxvm@N)Zok1G1Pr(EVM%*!MA{tD8Fk9Tqfhp{!-x#KKV1bRMvkY^kU#J!N zZVxkAyP2=sy-&kj6a$kQ7)a9iKN-e z{~YQm(+=4)C)rNBD%#+IAbq4!bnRS)BhYMT+^;*SC0xf-aLCq$Ky3yVhylxA_83LqXi>qn z5^;A#17oXJ(E~T!ds@Y!*oRo$ij#(|+@1J5fzMKWogH1PkcKD>)C-&0{iAX)$gq_qn$IN zbzKst-)o%FqFr|Dw~vpTC3wGw*1|PE#WEO9r~50yP-(34SLC|qVDBnNIzG&C0sIo# z(w0U9DEyrAdZ8mUlJ*Tyi0UV)0Yu&r%@3NyMn*8>$F_qaHk_5NGCm49c%H!30lt7@+2eY?mB z+3rfb9U=)ry&Z#E8-o-faD@IGr0D3n$59F#N2gpdRku-0Q?cJ&c~0lIQDm6kV%d0G z;g;P%x{5eGTX6(uWELaChKWR%>s?c4(0H$}Dh&GW-@NH zj0z!|YNke|2Zc}!LMTRxkmuMCLI`nZHstv!CWOp})`r+9)JZ z@BLPGpbSIGj(F|2A+3%byi2RXX8riyyt4geZr$dpeG3P^{#)4~<;Iqs_xx{TD=$5L zcx7R;k;4xxaEEtSb;S;Q_f~0hr@|AD|JK~SF!zzkw{2S3?5^7mDU|h8$wtrrdi9gP zm30*t%Q`*uTd~l+@MNK|*9(4RU(23ty0w-ixvr_KYsELb-tleMieG9+rwil4x#dSQ{U%XRA_p){~k5vRiHVi1M+i%a#b*JpqsqFry${rNm+SIp4MVD=_mw-1_ zlr0YTLh*RvCI7Q2rdPN2sA&7Uxi@sJU&~T!xSeyn>4_c{FY;RIc|9v?wmMAp`6jOz z1m9P>PtT!EkMyiKlb1@j+PUHjy`5#}iW)8-)x9dla++M*t6~5*v@iFn=-c_kV+QDJ zTZHgm^4FoTuBQ;~Sce+NI)+Evvrd8haIgu;Q_j z6JTjm-+mQ`mREn-bVa|4|KWwYqxx6uR=)L@^Ka^3u}682bB=DDxmG))%T+BGit|ss z>f}?8#yGsoRVQU{w-|ovRr{XQ^uN1R9Kwblw0p&=y;dB>fW#h5ujQ-H*3fJlzSN{6 zAK&Wx+dk{J+j^I@As&f%M0htdsZwf`+WYzdsVC{<9XbeK^0$fA9ncO6-V(` z!H4$d$Uc4kKlZM8uB>cE)9v*YXV2eIUvY2m(ue{_W;x$gLt3oh3#mwN*r}#oSA&(^ z`R-lPA=~SlX9|UJFoXUd>&8bBltcr z)f>~Nrj#|*lW&hv!8B48EQ;w^z8yz_%!(D3ke8!%ovP}qN!NF-s_##_rY7i$e0)Ro z2gY=+>yfJ7G(M%MbUEJyEnS~}r4ZqQe!;LfrtSKN{1Q@Cn7&)cFCeWZziRi8pEn?+ zReOYVW=!XiszbB)Mk;&z{X*Jm z|4`n3a0q1Z0l~iVz>qFJD5UL%gmh9&tMoO>_-QyK_+1#&Qp2I4U>>O=ZZ|aKrw`+c ztjXWf5c1C*5z^JeLptinkdBS%gqV&W5$sE1+H+)Ru==Qwb~rlL8YuP7NJcFecb* zP7mq$--mSOSs|S`E~I@f2<=R}kb1OVSaMNxa7ieryDSJ-#dOA`P(Cy+*4d;AXz1jS zHe4Cf{*57RcXddYUK`7=5A~nBF{C|j3LRTOT9Tu&e3C)w;M+qwGp4Iz+Hhy6(5fjo zSVk&+@ve}zyE~NE-xKmHVtUeypkGKTeZ&1Bo!ui$&4QV6|4)A~2W{R}+OToS}ru8q!p`7({ zXlMq;$DXeX`4RsK`BuCns)MVZ zRDq3+=`ArGu|DWZivaa_bu?7{6c*vYpM$;CFCpFVYe-Kj)Momn<@~e&_2yNCe4onN ztf8unLwW6)G$yRl(Y}q2hveAzdBQ;<}7gw`0(ajp>Mvwb|THs1EiHT|&M7waT;qT6YZvw~%V6PO1xn zR^5ZchMj|bJ*kF%L9d`+(kGCBi;<}G$=s4y(_XvJYM;KO6u5bB+DL`diH);;;H9uevdK01~k z8`D#3>r2^?pB4?LhjampL=|U}SNY7}2m8FSA+6_4NwP1G>DaSkIjKf)L(I?S{YUb% zBBrCy4&~F&3H67bTU!bZj1R)u=ZAFWMIo)ZB&3r`<#^&{Assy_r0uT=jz*BGp(Qb2 zEQS1(m@Xz&{n?Gt&mTfsbyXCMzA980eN9N`To(lEZV2gsn}U5dsT?i7HKgtD3<0#h zCzP*?>6&{(e&&54Z8bBb1DiwI=b?}mOAiMa5!11Ag2Pr%#0pP_bm4-K4tYM5FMc89 z>s}1`1*95*b}xlRJMraUZ}&>buXrs4+-GsHFCmq_wDk2*uz){UYFiC?H>~o8zX!oM zehfsyvCBicC>|hlKM46bABJ?|N5S!o6~W&PABS|{C!u`t%22-I^N=5~iua1rexaVf z9}c8}nX5wp6Tgm*Nj21U--P@Pq}qOSz76>eqzZW4+TeKHy3o@l{|WV`{1npZ8-jlJ ze?xl9uOVGUTBZHJuFy4Ggrmzty1Z4_tlLP|&A36ig-6_Uh9m zfdry z(2qGLEu&F{y9?RN1qz>JVv`-ik@a7d?-O5eJ4T5vp&RE7mHUpzhJ2a~Fy#iZ)t zlHZ2_2AbJkAQZHk z5FEDVHCqWs#B>3vdN`Taab>UPwOj?Ziq~@MNLODP{4Jam$_tl=w3<}yjNr9i)teR4 zQeh@jplz~na&SEO${?5%({+uZfmTz3gTXPKNGeCwSB13w)uH}+Qk@eErw08*Uj9(S z)kDJ6jO8^V)mxUO?7tDba3sUvYeR+cF`XXMf!BowMv=o2Qu$wSYsj~s7V_=xAl3dKARrxcXAmrz9=6HSyF$MH?odAOo{(P^11=mM z0$(_zYyDX2FOB)S;o;tIC8_+@9~sjABje({Ka9}One2b<$Ju~{D@oOp1rLOwTyk`% zc+#klu8is6V?qP7d9_sa`iu@~1F6==_!C2ZPE41a6!LW^hw@3MhWyM^t4f)Jd8Y+K z|38L?`^*Xf4UOs8m@X%+p@HcSg+SXs9MY*V9XvbKoB5~UXT~ETU-##bR?i9ghDSp> zvz!+i)Z^KFJ+XQ+hg3aS5cAJ9-Fan2$B_%kVlA|)V_m^p!sR^Pg|{W`%;W2*DWX{e z?;ABc^XLz6`Yvb%s-7WIY-&qo4VC2X?=g!d*NoKO_a~VE*EnRroIqW*roFkM?eS zZMYrP!PfVMtru?>^@k?f7m(Uvxh`x)Qq@Z4kyTKCOKmV|6D>kbv8#Djd zn=9TaFU^cPtyi%IRJsN57*hG_uvs2dE$k8Kli2rw-HAP6pAhx%8PU(5U@#j1F?*^q zYv6^Z$8M`Qi0@qZ@izYGpf5O=EIVakEi{F+Nz*R3SDag#8jIF#T~iNcGI1wZvaPnM zso#QBk=MYRN8SYnvk~bJ?*dDIy6urY@0TQY@s5<^vs7pzTfZQ&i>sr4d14p)u-a?;d>978 z+XQ{7aAZ<20*hWsWH&q*~$1cuh zO!w?%n@2~HJ*`!?*YWoX*bQ`sd!SWsh9#N?ST;3&zU}aGZZk--k)FpCjD|G@6VRyr ztUwtL*R`%p!}=8k+aG}CNsX*bG-90#YPkItVJf9L0PaXC9s~P!@k%(}W^fXcQ8XH^ z>2TLr;RP7X>|)&(%F(NdU0fgS8&!qB<4IEslVo+pzMYr}kHjv`|G`6i5)RrjuORjb zHUaj{@?_Y(xgU1WGhy$P1+b<`4e^<53}y|8FO2pPZSxVD0M}q2rpBg|X&H9djV**#uE%Gv z=e|?Byf^hQ#aUy^n|8dXVw;jpy7r27bjal;iB#MLn_SOG>|!_h0_+X>671#kabo{8 z(N}Dhg&v-TY(&Nl)WHq0v57Dk?rC5h_6qJVuxE50>`nMeVqXOJMymjSfJrhx>tNaB zXX~w#XCd9U&S&ue*a3}&eXY6>rd~FH;)7v1dm*ulz2m=1>|$TJezLtpWo2Bj$u{{g zwuW`ks)0RVlB{8|ui(QIyIAL-dNw+-i@j{mg*|fP;gYYPe@+VKz&_QN!}tqJ2KJ@v zpRkS*1-BJH>@q0wa2U*ndIap7?eVZLnK!|4gi3{H$at~*1I{Y*pV*t~`@}w!r`Xzf z>cztH@csp{eqDwn+#SL?$u$!CB^r_}AhE6pviHsGjK6M}^)of^{OYHp<`$4v&@s+-$eXoq2_JS$T8tVgJ0ITeeQKLihLD)|f zHsN=3FlFn*ZzIuk==XGFmw&O>K^@!~yB>$^z@LFhv&v-Z~+vdcI|)lQkgcS>U}?0J&}D^*t=sm?0`nXx+F+{2~3jd#a_I(BzEy( zXr%u*v6qxlLn`<(DG>Xvt)@C3p<38CVSN&NU)Z;!gJ9fc0f{{l!{9#H)z;-f6V88S z_KgKEgo3QHxNEepf<5;?z@D;ou&?@sPWdRdfxUz3V2{c^@Hx@vqn%1ME%z$(D8QaI zEOswngx%Oe*rT-=_7tpvU03Y7|AAe1J?xwMO*%)t=3g1zwP{#(gv&|QNHy$x*@Wco**1A|!*;^U)zlbYNv-ZR?w zhuzD;aK~srHL;I@-TMn+B=TP>DB~@0V_r}Y`y%uV+%-D=1a@O9;p%8F)aLdw_>gEn z6!xw;40eYb;7Z?89z_Oy)?;yPtbAFlpdq^)_9B`Fd+ry&6lZnCp8Ho4ySN&SM*4Hu z9avQ&<9+`ltmxF(dicOtVehVa17h8x$|%GI2kd})!oFANo9M-Ub}*n@a{iOa)`1$xek+Ysmu9gJ zBH3?(eFoeMW6Cr$VO>R~`2lti>tOY=GmoEPuY>=>E$4sxy8MKygVAPA#ean5^v%S+ z1lGYK`)9CHjm#?8*N*a?^6Nzh*lVI2j5O2h*Xb&?|84b&w*Az^E{;A+h4D#&*o|GD z*u|c|Yhka6nTbZM>qsMy1&Lkk&O8fieJIey@PRu2mD#*!-thLY4hq?Kgh{ed5!>Dg z_L}IIXvCh%L5W?gVQ=Jd0PHn%NGTB=5=ClwI9yID9s%pwjCgFK7we`}_Qu36b}w&9 z>|!0g(l@~hqNL1>L?pK8k8pXc@F47-Jq~NARrhZ&Nj5@aPt)HMyVxW557@!2>Y1GX zWLg^j26n^WCKY~xb*H3;t9H&C7CY!|VAtIi_6fKP>>zf9aY4|`zcOBQ`@x=@gIYv| zg4p(E|bUu@~d( ziCye7;62!W{sGrUKc!#DxUq8HzVH3|JJ|Miup8(Kdn9_m_{rv8?89o$M6*w#5xef- zVCVej6jP=Xjv9HK<_g%y!d;{Nro?^=tl*??f_%XELuux{u9`%XN{HE#qR6(a5=VS9zP_S2JQgVN~@jn zk6M)Be<)AXwR+_L6xb1-0c*izW9H9fsDgf0s&B0D0Gw&~PwY+m=fp1dUU&?415a6l zPyI5lE)EJppZg$DyQM6n0yV7PwDJY_OW3Pqy(^#-dr~&$gCdv;MIK@=&nnpar7P^< zc1rZ(jeKDDasfg3(JKC(I}`;*wi8e;vwWD$<^D`;MT@4;Tl zA0!%o3P}yG#eND78+i=p!$Bn3s7$2CYC!gD;BwMt9@ly#sGEI?N&8QZ^b=#g@p=~a z;(8bMJ=LeKOdYYEehzyb{0MuYRq(^uNV9;%wXlMygRLp;nux@nvpsDmxPg%ss8~1M zjXcJ}-g4(9`U#0%tXG_*zZLe8s9){I6b@`<)B}kg4+Yuwm>XFQiw8u_>O^CfMqG@V zwXmn}Kd{e?%8vQy?$D7J>@BLHpq$FhJi5Xp1vwLYRC*+KfBH-fk7DlSM;$oP>j3*n zuzbpXN@C{|YgwRWI{(L!*)58iEh6tvv#EiBG~6d@#=%8cflh!myy9CO9D08OPIaea z??JhOcqP&3({b7Rb|qSzh<->!`ayQ;Ws6j-GeC~EtBxZ?-42oEN36R`Y5G_bxeciT z-4A>e<*%`N?<=jHyuMA=XRN>g9E?x8=QwM(p4oEs;4WR?w#r z!EELGlZk4$UFUqu@fp?nr=tG%|e0RRFelMR*(wrPFUwncK**x z3Z8>^j-sz%Fbl{ZjZ_2cu(!dk1}bZFjXyRiO>6Axr8FI2@1RcBV~6>JA)ttMrNCQJ zpS#4AHSEt|%4t1zUCre5L~A1ZvU@gmU#2gHeO0^-_C460u3N|a>$ke_QBVV7H!$BK z%EWHqRoD%@1G|B*VK?v{ET2Ul`pL_3(pFsQ^kZ8YbBmUJsFe1zhUc;9v3@cJq?hX5# z9{^*@dM54$OLHQu1tq>5_61BImBo}zr9U>SK=nhP?37a0n?+=Njej3@)azm26Z``E z$yYl*cbhroKe3-BRws6`gWf5zi>=>v7hbTjqg^SeB%>bel~fShzHed|JGjA#=8!}q z_Fg|W;WMq#{41k*Rm11Qdg>y+-6Do-T4W8K*mL|)qM4m&#F~Oe9xo=Eg^5O7((_-5 z-cLmSShE^if!*i%TG$Kc|6q^GFA10RtEumWKXsrtOp?ugA6UaKp9A6HFzuEKH<9r? zH6<0MM^*)~Zz7&bH2%1?G|yqz3Rh?TmT3G@Y-yHY_sD$=Z|wX3FI<7jV(;}IY^UMw_VwVV?5axC_gU6n|NI<=8_4?Zh)bI@K>WxO_5o;nlh&k9j z$8)Wru33J>3QT_Vtp_AoTk#tZO4)(1oC4q9t+fcDSiNuNvBmD;#T)UiuzNoc##B%v zV*M3Snu`*<*cYT5Veh6}cIW(8MOB!dR1o_jF$?yPK9Xp}zSny#v5Rg0%yve?-}RtT zS&cn=g-Y|k$$)%5Hiz9(i~3tNHPjsyS$;;9$aIa4uCR!_SdP@dWY~_bv4+Z1Bg>K4LEoEb{6!+t%);(1 z{bbaX)O&x+h(wDh*d;dnqaBeKyJzd+$FVi@czDlzG5T9dg18c<_1VBa?V1y&E# z%Qge^zZ7(XeVFVG`)?+rU|+sZgFR*AU|eMD%&fM=+4pv6j`c+@7WqqEB$|j_XSFn! zCz{ETr4j4Yljd324ZmbPcCr1uW;=CDi(^KP#QmeA4X_u;-92Eax ztD&3i0xFy3NL-A)nVV?L(uiB`f~=v=YjAhcW*%!{`4O*&vwH&8U!h{}{7FJv7*n=b z+QE8Oq~K~3dtGARBe4&RtboLR`aKl(6V{{PO8hB^(QwI`Q^@#Ya~kYBnG0ZRE=gEl zIAr@(iT-xjHySfw+Q~X2R__(uEO=L#j+F`@B%&3tu38eUgm(_2!teHpj>wCBY2Ft0 zYddvtIpykEci8jY7sgaj<6;N5C*1P-|2~OG>;?{n{rv4XYY5I?ucSc7V)xN|IqZep zXgv*!JCiE7SuoWyUQ#0CsNaSi_4_cIENZb2nSa}khqbWRM_GM7)U9CKw@U2oVRfvL z2Y>p@7HszV&z=@hAoe;K1bes+w1$TLrBdpB19m^lnQRSy#J=mj9^M_BdjB%)DSNlx zf3U@ozoAM_mm|6zu_8Td-~3pe2xH1dMeL!z+;-|tjx0Z7Z9n;WB+<-i(XjuN$?nAv zy#?Fpd#-?8te0rjgW`U9K>Y4xJ*I4h`>VCoa0l$Zq3v%CI$yCfoK)P8*q8#C9sF|BN%fQ&H$HnEq=_RlN$OTpBGD(ocL&<%jk zfz|Lsu+N5hu8UplfS!icu=Ibk24{;S%fGnZ=YMfaxYO-&;YeQOy> z7e#Dj0-sXT(xUrOIFABW<_HzZC`m52@z!2>Fpj89c zSQFW=12$tn7Mpx-NHk*Iq{zPcf$>Pz{I@6LD_bwv!#fc6ydDad$I8PJ`)C+*HbP>L z(8-Bi>;vs=+v&`Bxa0+LWl}H&w*8L8K0UHVLaabF5)WAu*-tzku^s)Kq^>w#ElY)$ z$@qwU&lPYac8{0A-j*LE_Ky<1*bRSTI}Q6A?G*GX>|JBfn;sP%PwZkjSHp#a^B~G$@2pK=6-x(~TK3`=E}&t5%bL&mH37gxY8_W56VNE|vU7bDA&xETFZB^t9dV(l`uRSkPOO5I$6 z0&z#uW*!G7cCqgX4o>W1_x>>3Y0O^^sfI^k_e-}I!d;^O=`cZ*vc~RDD$k6p%3?pS zdlGhI^R363xEP(jXghWN&6M)97<*SV>iu`tWX$>yUczILpI^`ey;ZZ$0T zvoY)|>Q=D*Yy)rM^S>(@>SaNQeVrbV*u?|TsDbgeGg@L_Ew4=MQxf|fiQV6bs*#>s zqQIxyGT8I_fh*9M*h9A=v5Si_pjL>)iHE~i|haxUy?x&p?O z^Ou#9my3Y$u5N$O=g8=MlrKp{`gyvh#l= z88rHqqP=SsJlMLL+ql2*3UlGA%EWy@beH@r}fh zzYJWCmST5fUs!`5aXqO2Brj1UVxB`V@uh=hPU*!r%=RMdAMq1D)iG6>!t?e}CFNjye)!5zeP;00=^k~k1&&Lr- zf!Mu20roJS1bZ0IO7vpy?<;Jl;mMH|g!l{^)s%f@jpkpOO44Q?|AyVz_ppQb)fLc) z4~Y8xN97|S_Uk-{!|vFKL?hPCs@ggYR{PM5pxNQ@S zIQ!my4MnXBXuv-ppvHP)Kaq0v^7=$`Lu6^hyF|?kiN-%bAk8A|3RHexw+8Mr|IAM+ z=9eGrA1Nrqwt@E!{xxdncde=i3q>B)h47wJ`S6b!NdFufUmX9@bmnJ#jh%nnKuxct zxFa%E`z>)N_G;OT-#YLZwSkdl+0<#N=0r@Uu7!MEU zBeDG~v>m-zN1z&h6ZWfC?^vVxSH^SmULyL3ML6;gXs9=Z?p5_eqtl@6V zz^TW^laPV+kCJGR$79zfl%reWE>S-Zw$u5r@4OZ!{Cc8a1N(~mbH*(AW}Fp|%L}%K z{gkUW?B@rEz`lZxgx%N)ut(z5L~{;|>umjxkDPS|J`cM(^J$5Um&rFt1^*O_Dr~^s zCsyb=I=t$lp!G8?Bv}Lg$rkCSVgCTT8aVs-JkX0^pPP-*p4~-XPew20$m!!SNue0o zhwIbW&%q{5%?aVlUc{Hfo`T7+N99`BL){cL@_9GhhE&1LIf1)qS9pp7kHm|xcktUV z+N_uUK^paP1$G@%af?l)2hg7QtY~wC*_kO zcHQl5N8cgX!xgM=Qs7_hk<-!GNwU69v4*_Z@9b@cJ);l8`%$j`{VUOnz2?^>_BAJ! z@;^J>d2&9B55o8;6nTik778QZN|y z5*r4qXL{#Y0}K|_fY>+g$47gSGO>63*u*aO#baDz7k4VBqK507q(JNn=Qnj#+-u==Wio`W?*>ew5wUsU*V49f&t z=JZPn{POqDuxG2{v^?rok>ylur)^-JCJLg)`pCBLhTT5*u|}U>t0&U~v7$T61(AKf zF)6W&y&SGd>|#HKx;wFp?dQ*lU0m|+nM=meJ(GyUehT$kViy+)Qaye*av;t>B+Fe)@%n`(<#yT$G$W*b=ZB!eh}=24~Ko_ISxLQ_Ol*5 zM5bHp&0DY+*HXAX+N*w_uNAR-xkF+XyRq8DF7`-wx1B(HmSRRx_k^{QwGJ8*ky#qC z?nkBhJ?tqPpXkM2`4ixMqW&+|kQX`mkot66++kdG@#uvdIV7=!`0qqKF z;fN=|UhP-Hn6f~{o|+pIyVwWLeYPu5*b8$Oe3YN){!B*iy-;HV#^tYO9}Z*68WTI} z;jl;LB5P>CKkTPbnS`ANvQc>tw*RWLbN}7WPR{>s6u5!zup2lG&Vs;cLu54|z9BZS z0`}9yAFQWLY@a{E_PO0T(WlP;4lyIAVoitUvadyv?WjL?y||>&8Vh@iUXbX;heyrJ zuovbEYiLWX^;b~C%IE}C!xiV|D}PhiTd^%nakf+1!J1>~YvFQI1=KCk)WOx*q#2Uf z#j!4*UQP-|!(C#9QevMB>uivtza{p?k<|gQ7OXTIkIw_z1a|Mcz!VpXJj9#${O?Id zy;l#8Ohn?2(SCkn7jGQxm%zF>sqW2*M(mNBp4i1cG4Cyr@x0E2_l%A{gtb-V^kcX> z@~<$M^*}r@+V?y!zwqn_9}w-=zzk02=W!U!>WURacK**x3dH3UsNtt!KmB<%(TLsq zC5c_^p1qsc#nvxR>~N`N^?pu4Wo-DXL?rfM@^fMryRqLAyV&+t=jS~Z+rCMQo%OGb z3pQ^l;P14Fy=`I_Ti@Px#@MXIDE3q3A+S%?li+h>C*M(B?LVzXWxQCH!+zrby+lzX z)@4*v)A54bE|!l*9^DeV*!JGG6P$ncRDqW2DNuB(Jj$ZTs;tINO6+3aWL^Mwj+#4Q zy)+{I)3C1>ufUkHPKv!#J_z>kb#u!2@3B9^9^PMqD61?k$B`QNFRT}M#S13nNAEi@ zrc5uEzLCefu-DLM*3kP^6XN-=p;$$MkKUi)N-C;>-(XKo@xs^uda|}$bFxTDYi z-^lnfy6ME+Y3s;xD&8eJ-5a*kgJ3&78SaQiqc|D1&+B1KStoCZET1=&$T-rMU^{&S zw$oLxomO0uJKY?%)2hgFDz2tLBh&}>v)!TAV;5JFX6OI#q(EFtfqHN;?DPNXL?iZU zp9Ysl{hhEcW_Q7U8SRfSl0uP(*ma+{B>C$#nU;P3v@6h?1+ZRGQO_2`Ltyo+>eBqh zzcXO204~-9DkHlArM(p1FC$Wol{wttv zmqnj+z&|Lh&h*6IOZ!i~IhKs)dx9%q7nhUD(M7NimOsG0_P?0u#qRNFU%73c+*bN+i? z8)Id?DmNvv9Eo2*tbq2rBHyBi!k7w09%2uBgYDEc%a2&EFUijsIBQq)e*qascPZQn zo1(i1CdsB_Mr1h>YxT;}lW=cR=|8nTvVE?`UKustB^q(|b!FEgRPtSXbDs>qv)bxlPA)VG zR3kA8J}frykn3Xi@3KoX2fKrKEzvB_nDLiL8TD9^z6*QBzV8ay#U93wY^Uyu$nqoB zyvolvu&3aE6a6|^ex&)iM8-p1F*$!K-X1O@V2BFUumh@rF%?uMw!O}Ff)KlbUa+XFe=fAh#FpJa}tbo+;2v~bv4NrwVq&HiSU91C20WD4JV&Bqz0ed9AYtbG*JVUQ}T~v^@cHJKE=K??*jY6R@$G8_wJCSg4h)fg?({4 z4fd2xNc7@z8dIPb!M!5SvW7s-3R=udGU3lepIJmDu>w+W{*~Cpp1-xQ&x~IZ&4$Qo zKs++~IpV5(B*Ypm1$ypPY(GEoxWOVSi#^A8*iHqpN9%657#%gk9<2vqFVZ*fdR@%2NAjE~QaugQn23ig)k4tscafjx|S!1ySrOx%T3y&Mg@v6B;x z*!I(-J^S_|WroMf3z7@Ebb8-?q>~ov9EH2VEfrG(TKxnG5@ELaWBtalX|Mx5!*%f~_5vJlJATGr%lWTHHPjPb5ZR6<*-izq zU+K8fcJyND<>yx0(NBZ5hGf6b_Q;uk&405Cs4xq5Kyz$IBX&S@ZAUM51JBxyUTpmf zwxeH|=-+_VenAZ?;|gyl6_!T3hJ6{V6|UZVX-#DBntx+AuTM1MTC~kPcDSzP&oQOK zab&P%L5O{5oB->-PZe&1?ere&31~)S1t<1F^f>H;=yljWm%v^Jf6wgnU%mea8Lf6T z_7O~y*~PZ6w4KJxYFO;suU}y|u+jCoAF=JNVK4A)N@U7uObu_Fh^k>s+1X%LV`4w; z+8uUq1Fffy*az2vup1s`4SpKnBHEIijv}MEY2B zv5WN*jym~%VuwpD2gqj>xZ!_UL~yHNzsy>8L$0ZW@mEkU#GOgiKpm_DN4y(c9(e%l zk=O^u%;+-z^<><@F|dO;!6JlWV#%Cd4f_uG4%iJe!EWF_77>$biz53b~l)`8fOc7pA+t1A$Q*nu7d zJJ8{YM(iy&685N!PBdahF`WNrB?V#+@1?dA+@#0~RNRqZ8hPAfO=LILjNSYvSOICI z=fFy}KIYxX`R`snV@EXbTokFXMX&-=V}FNzZ}>IrRsAzeaW>x@BCD?0Z%k-^Q?4;* z-(IbZkJauL;jAa@S2_m3-uH(`yLxaO?B1LTV=5>wE+>`dv_yX%>~&CrOIrC7O(#Q= zRTjJQ-LUU!=D^Sg7e~EDLhR050((tNhIiEdQ-%9nfd*zqR%7C7${Tqs zggsgxSdU%o20lvcVg;bOpC@*)>}vO$5*aU|UtnK?e@pCJ+>$r2Wx{P?d=%tN?7G_| zcCizH${ya6MNs^1$$JUg!iLdJzHlzKF#tk z9!k0V*Wa4F{!gZ5825v#5y;UgF!eIN4hFLxi{0a!;Ff?A&0UG+Uf5T~hg&qvzcSv_ zFTn22|G>OLku|*4ZTVtsA6dN-cSEDz>|;%2H+C>~FS-WU2hovmJDvaPuxlsb@cWw92E8tY^`0oB0T zX0i{kJ+gbbpY7-e!|uQkc&AwRJZs2{JNx{_=z>_i+-zFjKoyKB8(y(*EW5)+>}p^a*p2mv zeM%03Db5bD`p8;0V!g!IsQDjnQRIV3<@6cs3QqhyTod_I>(v9;H=pHqNPO*G6>kLWKT_QSdzU?&*DV!hlc|o8 zF3t-IW;qpWE2=k@cjke%hA|b2JjA}~*aCLPwugO))g^jy_H$Dj-acf!pmt3}VmX&) zP@>r{(TF`N!)zz$23SF;1Eb-IusW~|_C;%T$rUJA9V@7@b+GqwMN__rTEPlPPFur= z!E$;mTu!RGqha^>3>Z^Eb;M_pO0z85v-4jW?}Fv9_iNeoyt24^tlR^3k9V_%fCfZX zaN=I*72N68L_Q+=nLnNL-z)sDi0t&Wq=MKh`K`!V4`7eb=hoBkDp)&34HxgqM^5Zc zwuY-S4g0UBMHs{?Py<5~yV#5CaM*z!n`p$owHlk)#g)=se{UQqq6;jF>>gi+-9cOqJBaHNy|{sT>cEG#)7T2wjje<` zQLeViX2cF?{+037sR*|WV`AUV70`9dA1Uodm06W$;*d6Q9*n znl|0B)sdws*tCchMyU1$w$iaj8UU>zxH>pPgs zLwGv4(Y<-6Hiv!1t%GkutWG@*yRpB*n6l*{E{COg9`^19pH$8zuu zO(zPple7zKqDZ4Az8t%vejuqV_HKI=J~1}X`u_Z!+8)N7`Rou`KE)*Ac3?0WPwB9v zLIdof8{rC6ngn|!uCyMz*eCX%VK1wvt)ayQkrjlvq`x()XMayb%My{;ntvynwTVXT z=dyN8yV1L{3GdQw*iaSTm=?`!_;2vD=3KWX973F9$tW#7CKagm|zR7(ev5VD!^nZuF zQ#H%l+>O9L8-ORR~kfMmZ6JJ*YF{x@1gg`OpvC6U#b zxLef!U=4ZkVWiDG_MMgYSbSEr&zV)q?>(NUz>DQ&*u(V>oLv{F^d77!kkjIW`TGm^ zgE3`8D)yD|VA~0FXk-N~J_LRCbnwnZG#xHdAkj>?vqU^zwkEQ#7jI&Bpvx1DSW~7z zzfSC8|B+?KhdBRTxd$1vSr5cRU{$^#v5U*GDWD5sZ_7Iqjo91rp~NoM=&J6YZP(iY z=8$oZ=fPg#Ut2^4u^MjXu?F^n{Uyf@vPp)^Zq4F}=7o_EIXS9txeoizS zBC9d6xAb0r%G-iV+1#tK{V8w{4uq?*$$mQQsktDjJOS3QtAWX|)`Wt%*&6J(M3zRZ z9!v8a97dG$_a#@LKwM6$hF^g_-%G5)ky%c~zB670``YopaAowf9`-0!Jd$g+gtPO% zh)@|f+!pqHw};~^=Y^eAfq%L|?HO4?hp#aKqO#cMd0X3QtX*U^EFOkdBX_bj zk&C1nxihePfscc;snPZSJThM4S0th>4L;A9uw^y=H%<34#u3- z6}$Jlz%3sK-<^z|9_tG9Y;gCn27uWUHV;7f`D!3bAckJ#&BaU@Th5N~P z*yq9?t_4X2@g6u-!{5Vp`fH*QJD`e3V~+{CRb+KQ><;vTTh9M}u0R7~uj<`l_ht{+ z4Ih~3#aTdpU4z%%}mekI4>?<-;iM6CDjr>}J&!yY9q9Bi4vY zGYLK>`g#Acn&I_up~!=KCQAJoSzXb>VjBz8mjd?>^XbgwXloJN#*TL z*mJWC_TpNe=*70Lu${KVCEdQLu^*Fy^^p~j*xlHEZvKAY!7$abu8JMdfe8+nIOa$5C7i_?tDqoxgZ&)*J- zM%-T4NIBXyDGWTFxKU^yMGi9uWkt6>Fn1MEF|4~!`rE%E-a+Wokc6nqSO zHLgzV;#$OV`nxBiBc`Sb)<~4W?O>hfa$1*Y%+iQEM$JL6Mml?r-auwoGHT!|xH|HK zFqlOx_DDRE*u}l0{sY*f@^zwF6Inrs9cbY%+@tyadAq;l*U@TNuO%v=fv{iY9uAjB z`v}-a=E*ST?Cxa@>`@sDdu>gCd&RoVu&(9Jn*V3XRK$YkVKD2l*u8lh_VM<9q7i#k zKD3=)u7Hd9QIA)_Jz!1E7V~nS)v(&n8dGLJSUo;P1^kl(u~OO3gcYdrXT#&8{hx_m z>@(vlc;~3u`l;NfSR*8#rR~VL2OVLLL}!@bGtnV1m^CaekM^Ok=l-%pBlfi30XyjF z@FB78XF(rcvRB5#`xWeY{SkKMpJ0zf+0(hb73>ad0^>gmR4jWVkIfUi*t@L#)A1fn zj<=5$G%VFg1+n*W@5C;)qdnj(P=;(EtV^dF9%()HQLq>0Xn3$Rn*W((+=B;Q0lT;> zsRDWv_Q|*m_6U8N=*8B24L=p@-akLzK~KS$vk?+!KRBZXUL)h}u`Usbt0|YJ-GY21 zy1)*i4@`09RP4^|YCF9j0Q>Mc+IF4)%6OZNh24Yma#3Ld?4g?oYprN_OV-f9WVkZg zuZHdCW>`-W)PY%WG4dR^mG++s=8_@FdLZ`pm}ff;hzFo4gV(?(!wO>9GkINcIX3w` z9CpV}N;G2I&nuDftCUk=SH2GR{rP<`{<7f2=fY~>chBZ_vFmODpAt3aCH4zo`@9B5 zQpn!2uZ;KW1Mrwwd85DPPB(@L+Ty*M01%>Obnj_4n7xG?Znq@;q_ ztNI7qSqJN2U8uAss-6!o{xy=n4A$sqB>n)qlT9$DENJnG+W!*$m{j;RsnF(yyn@)% z)Dw0C{b4sSB+-lgj)^I7b!=-^qA4lUGZrj`y{G>P`$fKgCl$mVt?yyqT5W*!WI&y1 z{bIiTwu0@a8%%N5dog~(bM&3acoFTLh{S#tay0B7p9s6h7bJS|bQ(|)n=Q=sZDH%X z!4!ue7MAj#!aE_UaC%bV(xifT0+rRkcZpr>k@yMr{@(PZ*cj`hHS9yI3O)}X+4+B^ zMHs|rvj+ZTJBB%t%Od+VnHRA8%K2)dU!3U0I%67ne3EEZ!X-zvii{SQM8Cpzy3xyd z4~mf$gxLDdu=P77`kskitWlBwLAi#H?2>U1gW+ybbe2Wr#a&1>>^CKLu@~$;u+RKi z))1&zcSH($F04zrf_O30$Mb)&D^MWziv7@bDzAtvM`GVtu7=C0r{KO$^lM;uY%Q#l zQ4MeRO1=QME0HP2%AMhsVYGJ?hkv|53`2)V(*mS!@g@e6Sn{JVf()XrW)rz zPA5f?8khoiqCk;87)5d*_V7Li+tE|jPNKkw7(FQw-&m19ynFIYfbf6ZzFF_?6)WOdtnd$ zLon4cKM%di`Q@2-)CEL6H?n3z?Bn8P*dI~-1l9;Ch|i;5!HI4Em+kmj9qsbD2Chb% zonNhA%X_&!> zF{@#5ZPb+gEx*Wa3Agk8Yb_Zx*%RP8*oWNiuzR_OHRuLLRs&+c3*%_mQ!qNwi|ywG zxDp@DJjRsB_yj%=_RZ6^u(#7RxEL$UfW0IB3}Y^+Ozei|CU&vyPbGG-0?J;$m`}zL zJ)elgJ0nu0Unh3)p3z>jIA551!u_KCY}mI9SHhUHK*jFNwTrob%?cRC8z@lk8+puv zz1p9JJwktjz0lr-y{DJK_9NE4ko6TMi0DxhA8U0mh+*S%f9NYqCzi>zT(V*o`3=s07t~Nd z`nN+(6ul!<*PO@TcHcffw8@DiLI?VA6^WV(_z^Y{)X$rhSe z4Xc-HVek9j5{=kX)9THog)7oE|2^^>>yUbn6o(+ zcY|r5u-TIQB;6Xulxf<-ZGHatZ~=v4|E+kB#4h#>dn(F&BzF#Gbyx5_L{NXTx5M*TRnUdf3P6beMLs=@8rLy@_3Hd$a8XJquPq3i_#F4_`U9Ad1v8 zv9EYbqP<83u>*P=_HFn#)=+m%Wcd*fh>g{}lP}obFy^cSecs{x*Pd2|{uEpaE4s%L zkyrsV^LQHeNW7A0#MZnC`zrc2+&|VWUz#^8KD-Q{n*Sr-%`Xg>! zFSLKc*{Co-E2E|?^8Pq#uC@y|JnI}NFowD(xI>y*BID) z`iw*`o`xfh;(rsn*weSs`*{b7Fw$(t!KIe3g*u)BH*iW6$${91(iO1J_N!oz%2e3f z_y%}q>SO1YX7U3%Ird_$|tLUf3l1Fk}8PX61&(Z;Nyv1TpjiABzCdR4Eg^l zv6qw?8Y>*IJa1UsFWM(2cCqb$PVD0HsDBjph%SIVtu#e}jVNcVKaAnkNfIV89t;l;U_O1Q4@L1pfUzv!+ zezMUF9}=CefoDga_Rrj@SgTq+Yl5GQnu9;iHDWC~X^w!s3r3X4czYa^R1mwDXTt8~ zMTtgidn4@8dJ=X6Pr=>=i(%T&cA2;w&d&cg$T*015|LP)$gbKaQPD|s8(bG%(*-#M}O zfjyOjVcO4v7TZ2*XMS5VD`2QcQJ~&y7)QGTcCofu89WyDDRwdJQE7y|Ft33<)VIJ? z%^DVabf;OP`M)<2i9MvV68kE?mR@tFuccoN%V{eD(z=mT1veYk->}qymtgx@?6rkP zZ2c0r{IHBhlK-vwe{c(#Q-DmAc=^Iou~teHn&Se=1Og??l_ zTmxb3*>H({J9V(_1Ti$S0utAvS3u`k6M0usHTDqpV&unQuc4RVmY*DXBN4p^y9fV- zshd6hUJ2_+R%72M_I0p_bUmz*kY@8w^UjDp67Ati$`#y>B{Gh#E9~ofJ=`&hPJ|uM znK0&Tgv45OYV2Iw8I|#{1DXKqVkXTUQLl#Yj{5BVE6S*X3hsk_QF#O|kM=omb>tJfT-#4S#B45F?O+?Kx;mWum6{YOm=gjNcTnLCy__E0(P-S>loNhPf9dm z5AW%=<9}>qwI$Y@wHkH(zmrUt81*x-7vsyZg8st0D6%Sx^{hyNuCOMuN9$wk9-*%i zjo2@E{R+3%{%_{7>F4=yiQR)L*!KaQ;ESVvdZHJ5`ewkMzS*z?dIa`}J`4M>D!oVs zpM@e1v4`|E+v)LQSmo;dQdswZ>ixH{FS|d(cKRDkapqI3eJ_3as{EO99n6jh=l?Eb zdLYn991lC{6X7Az{xA$?PQ^aM{|fug=Ns6={v+Hb)-8UK2f7vPYe)MpO8I9752L^h zh=#{YV`KQC4f?2Rf=n2^V#XHHr=KsT_Ky0TgU|0SMw$uNEy(TKZ z%$Yki1)O&JOM^@Fpmr^Dj7 z%Swfd$P_gcJg!JYV(n4c=fEC`XRM*I=U_Q%Gs8@TvN@ToZ_izD@21b@s zv4`{sxMOrW-g@$4H+DYkZG1_>ldZ?6Is3{Pi|eL-S>zQxY`FH8zvZ7JZ?gWbTA#4gsTsDWjP-K<`Ubxo1xKX5H+Gmj2mXVlgdSUW|*m2M*AmD!Y3m>yXb z#Oi@6JP-TKc+-09x9|%QI&k!>5Hn!~p_|pYFj#0cgqu}vXmuXQ=X3DcI{)Q#r(XFz ze_z=9crW;H$~AP?!6PDn4EsFz2F6?{@(}w*w5)e-Uy^i2{4H9w`^?vz|L*9ER`_-&361&)=_%(b`Y`E{Y`PFhi z7;~Y>LtLrzU(pRAo1+MPla_ditmO=vdUuj>^|5xFR#PC8Tl#EiyhpriT&3S z8L#%@clp`g4fb<^ec=H#tROCdNwOfs-C)^g!uv-4G|`A1=ohf3dwtYo_y5Xx7nJ=w z97J-uHSFN3VfUaGrZ@{itS6n)?+truMkgAv>z)i(M*Z*q&H3LuX6{Tx_rRJXIsGH7 zXE5SLuus6HFs3XBvGvOnyV&-Xw$~L3=Ir$!W%LJzMjjhrkHki6^Qbq4Db5-bdq_8j zy>7OG%h4#%ZD8+$&aiLAc7c5dwXf_t|5f4tF?BBRR!`|0-yJ7ygb;G=$mvE%g(NyE zL{SJKBq4;%gb+JNOh!zIVld4SVloUd8JWpFqoFa35dRS4E++RT|L?Q*dd}~i&u7p6 zu4g@Kt#@62YwvS94kzLLeIksM8WSG~%QFXd;fI4q>;|5;PA{Ly+#+*d>S*Lu`l_hE zqC|f*HP9b+)Q8$c;bCx@d{s08-U(JkjqoYFtLz7`p9}wm&&~SiuZzaSH7dtz49rwk z@BiaTr~y?p5gwI!SuP;`P1wEv7)C0ojCgn6<=Ohb^$q7_o(lV;*6lEI@{0##&x-$Y z{twQHomUqovFEi%(8bpGhS$wy`@!qv2Konm5R9A}7Q5_F>zaQh-1}pkp`nJg&|1JF z;T}9rHFVc~Q>>NW!bs_+bQ`R7AkRG5jXezO{MQJ*6!h0%wVw(vBOz8}VqdvFhOa_b z;d8$&dL#CSkjZdO_B7f`_n!f+P-WfzaBeQxY_R#$i_SNc{;1_$5E{6Txzz4ze5$xbr1;Dq{UvCPX%4<^Wb&3ddxHbD}qVv^Z#Sm zL$}Hv8a68kv4&A|zZQ1G8~<38-2x`NROTi23A=-I?LTEG;fG2`*pJ=aLV-RiAU_TF z&3*;Z0Pl;gATG5hv%e*|KImd!YASb<*b%d~#B;E>-;1GuxF&o40qdlbe<|$U@qfWD z_E4|3PM~H5Ev9Vs{=d#o#SqoPUQlItAPuQ!L*R05csT6i_853T)^CL!(7iBn8eOq} zK-pnUp?6rr`R{91Uot#@hX#|_y&nquml4hi9#Kt<_E#^h|5wx_wq6gb{nUUGp8Kw$fY`&nJM3Za75sY# zzu5l$gMVQ5H`3n0g};&#Zuqbwqa?O|WYERdM_6Y;iM86*z__5BTi_9Q%%1C$hyE*C zCA|G^f;}B`lBwzud+$B~`9!HJztv0+}S1ycgw7b z#GS}j5J$ic^aT6SN5NhZW8llO|GnT5m(kVMC$Q`JrV>ow!2PpnuNEB}j?DaP_>j!6 z!eHu+*!R{igD&>!SPQ%1b!&>UV(DppZ{!Sm+y?g0)d$_&0+0Cj6xOIb3wx)(WIy^+ zSoO%i60Xnw@;W&PbhwfhohsUj3=Or0s2^O;d=Tu7HN=I<6Z@Dr);g7r%&dmRUGb{^ zD`7u5{?zPe{^yhM{4IceY5E-ACkN4`wqrxT%%{WFn_&4B^y{#$8RE7rJ2w9-_lYo4 zx|WFj>97fI{(Soo2``E#V1Ey>6i$WtKe0c@u7HQ3sj=?s79O!iPQC99cZSvblVCM0 z|JAV9O=S*=9dpL>Fqk4;oLLo#yQ8b3?_r-|ZCVw6u^Vm&cg+5M?IA7p*)Y7SSN}eT z5;YhU-O+HX%qLV$B~>i;t?b;Oi@iQ3SSM(+Iw1C$aj`wrd2y>sG3-}{46#qEtF2Sf zw5+Sr>99Xe&W6i5=(+Zj7W-4dUxO}A)6M!Ty$~|Q?#)ZqX>2L1(_B-q9QINDIqX2c zvLBDw0sUZ|de*?Mr(Et>{C$qCNVuZyVCpET0!1d&}fQP^{VFmZTJ(+zr ze1`5R{sPWxs8U*Yy`nL(O=b90Drw?%cJPSpIT!Zgy&-tSb-CcpaV3)CYUK5ytqjgbum)E8XEVQ@RNGl|p2lqzS^hk@ z;rhieh~34FVIPZo1drJBvafZTYsjp55$l^;HGPpi`b?q3I*3iYCc*wRbrtMkxTYvj z68l8D1NQ#BD|p1(W2*BHLGOEUo95rJI00_{n{St>$2^eazKt?85wwE7;T50k)@S@H7NI6!xeb4eQRA8XiHS46ET$ zu(#r+@GQ}Qa{tD);q%vYZuh&?o9<%Bc?~1pP*G_GUASyjcprs&s!KzRN`oh}l zDlpof%zpGuK<|g9fF2GWu><-m>>fXF5A`pGS%B5QDex)@H}<1VR4Vp@`Wfz>8{2D> zqS6K!IgNzaXUPD#RrVhO*JK_7`?x%Q6P~$V)hC9+C&TztrD8XH3+#n$Wgbnga1Ha1*abtW(hfI9F6!2y6SPo_}PP|DEg+zX$V{>J&Gh{~tPoN`&-b(?!dF~e!1aw zwk$>wt~Bq_^~va;O+(--GQS)Oh+XMxK^NC$|2IJwdxU-ry11&d|9%b`V$V&BcE#D= z7N-8RaKze*jl8ymy}wTh94V(Twiry=-gF|K=}tY$Ee>*gqZLV4GqX+rVU}#>767H-r84-cYzF9tGM6`#b16 zU6g6{_oZdwTVoz*TKl3i#3-DbUf@{-U<84bwBLG=V=&g3P`*Ytg@fOc_b>OZ%OpX z8C|yP*!+X(J>k8wem3l3yc$MMm5P1%+yr~R?}2@wJsSKAU|;PQ!-wep)ktNVZl5b< zSlYtga_wM0RJy_*l|5kxbOf9NqU`YCKQ-uMVb?hhuA_k3omL5^X|VROGUiy%+=_P% z-5=0>S9r=E8h!@ek$jc?PtZTgtoarX&7Qs5S07sGJGaA0pr-?8IINzjz<78ttV$n; z`|z$HzJWcL?dx(`bg|dO5wJ(=q~H;2fve6l>wN#OCcG$0zjOhDm;ig|Cc-{I?t;C- z?}NP#9)+nctq-xvD(Jt!J7j(fu5MlC{~Z!OWLob~EWoW{uj+cZE*BmMgK4zP8ZEKT z4E1a@>}`BD?9rMDdw1Lqr~R+!9wFfs`wWbf8ZfH?u|KA*hP`V#btuk{A@FXLRh^f> z9-+yw&yRUKI2oCNFZ%|>1`gFXx1UAoTy7edC8 z%o-xGwxSAu1pABL9Xl3lr3XxQS}VO^@08xK*UDJfy+0pzXC}hw-xMk8Ye{%s=fSB` z{!iSBcX=KTy11P6$E_3eLfAciJ?P8e)MLu5*Qq(^N~tvoN8JYA6N7qupiP;zFr^Pc z_t)H)!wzn0@QXe7*IFmI>9B&<=+1)A@cG}ObMY1S;qZ-^)Z>*fZzUBHD^LaT5$px{ zBkToO?o#;0e%@~aJD@FshrfwmEue_n!JhA4!6fcTqYCI)*gYO)4`s#PTI1lYa-dh* zLt1=duIK%%r~AJWzC@I|76(N)7=P-$*q?F^gnhal9z0^-n$EROaN{$plj4EYsZpHY zmGj?w{Y5f-0=^jvFAs&q3POdyg?;AN?34qgfm+zl__pxm?4JSqmh~67`TT!^gty1v zVf?AbVt*2<*||6w%dkD-Q6w}qV`0zn*})_BQ*n0C#Rq8rtMCUQL+nRw`));JV)uCG zpo^{V6?CzusejPLp32I|kRkRuxG3miAH&mvE_MSmgD&<|-W_ytId}MvRlWK%7bQG& z4~GI`Z?k7%rZ-5{HwYWmpYw1be@17d&F$Ob5e0ThD;~5FZD-o(VA3rTWF&=<%ioenY~A?++%i zPlD%zE_N@MSf^)VO>_(O686*TGq?s_ZG8dzvG-kt1X_ydJ6H#^Os#hB*!*wtyTZOl z^?}`RKNxE&E4Dr`=wg>WD(GTe7}Cd^<3onn!*xo~#n#WVPA|v7>Tx5l@zyi@c{>Tc zYxdj~JaDCXDE>%>ZwU+GODUj{*tdsI&-OK$ALf2?j4k6(WUlU-hSY=FywMWHI z!oJkM4kM+WiCxh<@XqM+ueV3`5Jc7v{pVa)B~wu^-%XU~ilI zVSmr^Bs#BfZ z-8voX1y{UB8%VsLO*{538W6i@U12@a6wtA7P3Gfa2R9BzPE#QE?e&VFi}T1;O7ny1 zMc51N-B3X6Vf-TKVh6Dbc4Mvfu3qpJXdT?2ckyW0Uj$zaZ|L*?S0u zWUIrE_Tl{Z5Iq?RJd;@k#O-r|_hGN_uY-Rzti7&E%li}~B=$(G50}Z;sB8*XN0cpabrmy_@(i#viz?DOFF zu%D0*1drH9@0+k2TL~{C81;Bz-{SkZ<*-M+@*fi9m((M%hxc3S^z!@6>an;EZzHdE z`xdvO9tv&&U43B$loqh6G*g-X8(?Ctp3A0q>vrHP|QJ=djn!Pp|{3>Bsr+ z-fu$U++27v45pzI`!sw6-Yt7p!b>yHZz#Sweho%WWyS8;3fKXC59jHql-7{&Fs{3Q zQCM6wgGYQ3TDt!qJfQgA>mJw_ipO9-*Z&UVPkVYvW(6VEPYo2r=k{dw z^{d6eVjZ-G$xc%MSDH_#m1KChJ`N^vnF8v;SFl&|&u|M>L=dF|s+B4r@pRr4(DbaU zyx8^M1XnLI?7wG8biP2k)Cb_rjerza0ExpC8NM z=0BOU(k6N!*4(Q%AHk|rz3F&R(XjZ-+(6qw#qQVvMoz)4;!kU-9{uHl+RNAW(`bpy zuy&KU(k>*r1nwPpP~Z`P#|EAlcxvETf#(KZ0CV@Olxn;3JL*L39(RE?PwMe5?hPZ+ zJ$QP-df3QwkUg0_$3v`B)~pc~>+-3xmkz4r;UZ&lE+CUw>p-U8go>sIk60&~JaaQQ z!HeJ;-o;O4zZU5;nN`17kNfoFjdyKA5c@RzH0WYo)m7p)!2dR@@PmHM19&>2K$R-|H2$HB1A@RRMQyx2jX74&hLRgbt1ZzHd1_UPA}l+bV~ z{a z`_z5{{R~#a8le`0tDQ;reohEO19M>iOzm&5?+Qy`Pv2{>?~)(D z)jB!#4Sq7JHl~!Vb3*JS+IyH2U&Jr+Vqv#owu!j7KMj>YoN50W0)(TvnTKMNY^h z)~7g`))prI+m_XDhveB7K0SM`fW7pl!pNmEFR`y7*T5dj8Spsrn`Aspe)_KhO8C05 z3G7+i9_~f93LF7@ZJ!L+Wc`$&{{lu%b&7qFpA35?U1JZOnO4aO^=ulfC%1b45ZsD) zHT+m8un^W#l4pta%=Z5)lzr14>R%44vubN4tjk$N6}1{#L@lmCQ^V`Qb(y=`L*Z_j zRgt(G9@R4fb`U28|0viEkA?l{nmm+E=#LXuk>O##(S@m0>=R;s(8XPIaBst&zAs=k zrVe}?{9*_9Ke%}lmWLI+7yDV)Ht1q6qU~S@x|2N`iOgwK;GGCSqjEItfKCklQE;vH zp9+j2ab7O)noXp|4(MIj-;w?gZcn~?x$WV_?eAFFy&MITot_$EA6a8yji`dFTp3JL zGbq{_GQ2ialH$vg%nN?V<4^wL3Yq*B@ zCSI$9-z>ja*Aw|SIHEYXwufD|4)*={z$1JKqrib=C{i`>OW5;02}UZZr(*Zua_cla zC9{GM55=n>{ssHf;K#7ffYopcNb~Vy~y03s0pq zE11Muy=v@tg{d?{Nk3rgndUs4XdKHHW77c zco9%5*iXW(VRB1lUSc(-vfEpyY#pqvtKN5m4}}|fT?BhtFRQo!8JEH1v*`=iA2-*) zewzIZ*WgiOEsiP{>H08I3P`LelV{hUi~T9_tgNR?m=ZpQ&kF^_9+io(qrMa#kQ-PO z{9>zXQ5_{XMwN6E)qpLkurD9EuD%~uz zdfy4I;a%JXc0D~|Bu0z$pvnPyJvn522=0F?%6+s z|6j1D;6K4H{#CB$oMVf6#Jgqv_G3>f9m8&};`}Ns<6zl;X1ACoc2z%3BYCq-0 ze&pN;Q)l%dduuR>{pHa->ojIopyK0lKh{0I=%3gdbz|7qlWkyiOyjq6@b7#)4_O}< z=Y$Ng3tR?!U@wP#DolsHWah$N|Bt{|<;M0ISp+Bc52lWWQ@eV|-V#h={oqKEJ{)wh zW>)&+K^ME>#juy#^57AB1J|5Td^0`}R{LqmDB;8JNZ7jAJsur&u@BU7ut(@z*g;%j zKT|d(v!+k1rvS^aQkrWMg4jFzeptQLYv_qZK;l+eKN_ydJOXy0r^62D%-|UZds-*K zo9K{L1J{!9P5zIte{JG3nCvt{V$WYIHm7y57hRj6ixq_G-wgJS*eZCos*v#fb%GDb z1D%&Jt}6y6SbXhjeDBNL+_s4g5Li z;utDd0`?WAK9nktexq48j($+96Y#Vg3M`#yVUrngt(e^MB zV=`-0#KXu}{qya~-2BHgo*~2g{+(bFYg*L6hp>;&HNhj^KYR8aU35U~MK=IGG<&88 zPeqBLIphCAhIp5(w?3t)RP3u}`=E>M9};x2r{hHHjLImO6PhodWCp8-={ zfI!4v6W7BB<=~dV-nu`)o|^T?6wl1gu+N4)VTz_H-g8W)_#yGhWQ@s`J{wHp0a-7f zS`-%VmGyo>7rO%kgD$pyuyw6JC7f}XGtk8~xq)G@7vrel5&Qf&8}_Kohdbo5{|a=1c#GaZqu!G(-=-ULpIR8dOrL;GR&No=c~#Ws+mqQV{1S9Gd@0;2*EuEl#olFiB%S_id+F~iy0L|@3ahcF!{1+= zO#y#sng^efJsY2%M}nZ+WY)eHcgUU|_K+6)cYCO}r+-8m;t`&dW%6xULKZ#2&8SStp3uut#eSjFd)e3G5EM27BNC z2gZ^{TI`P*rLmmAL)pr-oKQvLgR|+iU|I&dqLuJD z*>l91MWAA@>XEPyt8u|2_5wT?X3DDf|H;85_K9{a?22xORajFqJNp&1ct8&5{j8Ts zi~W`4&p{V^$8C5P=f9)g@~qqdg}2VEVc!n+6R$g5gQf<01kWC@gYKVoc@BVmv>y^Y z$H3{d)%>4Eg16MOv9K4>IN0AuPl4OxQ9yUvpV^D|K6LY+>|uoF!wN>DwGj3~ej8T% zsW(cv@Jd*{RAV0o{c{*8`NbZ_pRCis8rU5ujjR4^!$!*VfL&JHeEtt3;q7#+O;j`z z?nnt0zTA3d--2caUF;6r5%?~9sBRN3#@>tBl*i`7r$b^ zCybm%MeO>!rINGUk6?}^);6VCtkIibQkz&?_@!7eNwK|;Yz3A)$~-(;QOX2Cu)=D@q>vai`g z8m^>23#dxlpIbC0u0cxy!Je9(f=BET+8uU}_Y5AfehjO623se%A#gP~=6?i$JNzXNDBz6i7pOm^~%{e$Yku%=G|9Vw6Yp9CdziYen} zW$-`gVjobmtzeD_#Zk5BPB2RnPY46$`B%MoM*xRaTx#7ZNo&u@mgM+{XoI ztZ(KPnd|YZu~GJ9_WkkPpo`n*`meK2J=3dPz5gqrJ*`Ubh6m>YU%3Ejv4&kyuZBBj z-s%^{C8Z~foKDhSnH98H-=C@e!{I)>tDb9q!TDd#i5tnN$$S&+k+>U1PLOY)fv%{K;kjQG3jE*# z6cYOkSm%ObB*b1H+rYkV?*jYO>mU4L*E1yR6gBn)fc)b# zs|Vulx$NxV5qqc1fxBhTQrK6z6&G;+AD0{Gb79dlu@~JXK^LoM3UoSrR4)4gd_d-% zCKmOGJ(UgC30ho}>S6x-hm3)l)id#d$;5AtzV_niORhm5++YCx=WTMhJsJ!eB<6>j2nIP8@_3Pvg^K(T)n@4}#qy)dU$b$-*G z#&RO{OzgdTFYIIZFR(8r&sGbk#>D+-Q~}jqQjC^aoe}%i+Y#2YU;f=M;r!=4RkS-9 z-rtA9-UY|O_K4m4k+6e1J9xwz1vPNKb%L0fbp zuZ&gc5ZD)!!(orgNSN$2qGAQ1#>T+9TQu^z$DYiUIzW{^PsY}n-wh^l->h$UY4Ilx z9)vxN3t`$x^{j+FD&N4!B?ThRcmC35mo@7%+rCnJQOntlYx|XF-uFsv`%@nTwOjNH zu=CA(^XGLaBVC(j0PBUU} z;t{aUS~YWK@Qll>F&BHqU26}1aemBJO4CVrB5w*NvBpgEay#5A^PJ!j`+0p&@cc1& z#9qaZ2VLC!`vr?ahS&i;Yn|RKhCTR8f-d&pFM~b!AHyESukEK3V%4LLeOn0`--ZG| z2VLxn%HFF4B=&T)hCLnI2TxsQO^4XGlHOTQzh9t4O^&D!?4>&x_V6B-3usEjKKw_* zex9BdJYqkKCk9=tI@J5gmGGkv63vZW5eiI!-OH)4hxZoPYhrfri#>gJS*Q1NGixNo zDx3bhxDVKbAaql@7uriUQFv))RV4O8dt>Imm(}i5Sst>* zJ#sr6O{so?sX;gf<}D582>2javHZ?@<{IAR|2_I{nU})WUx7Pk{fBDV>dYx&(@(I^ zsoE=Z!xR#GO}2vlL1)9@X#;zgw}m@Uu94TC!6WwiKk7<$RjVA)$Y2t?qET?~T+u9h z7)-MUR(y5#>~K{M8ofTVJYrpN70_^d^r6)WnZ$=?)9-CUp94FH>ChHHttuj9fgQ?C1nH8M41G<8H6ZZA(dqw2)Wu2>YVN7B_f6CUW$gE1m z?r{egDFu3n{iMYXbO`JnG&JkgzdlI9C+aAea-}jav7gFk!Vc(MduVYytlq0<7Y6_3 zut)An`|*gaUww7Qnd9HCU00i6TK1@8Vt4Fr*dKtNfE~kA*{?XoT7Qb+W!N45XV#n0 z-wFN|u$F=RAH$XAliwzSDE+$lB)>6?k_JWW+e2N@#TrCax?9l2>ag^l)~UZ2+_9=x zO2?A$!W?ZAnPT_&bn6rz3;U=171$lP5w4+J6R(?K&*~f)DRn^XI`2(--URbVXgDm%<_m2$(|eFdRPrV3cG>FvtRX!z1E+D9o%b`V0sPikSqEw=wdy% z)j;=YMQ_XsNURUn3c4Y9#IAERT$Ae=18daNCOF##sAxRwIhzo4vlo@+$LB?5R=;&1gSHga*-vA?}sAptWrQ(Cp zRq5OIWcEYuC-fRL)%iczXFz#+u2bi~6RK3)9 zl-?q-BZ($nYeRw3^~Hznb}-o~P_ZB7+rVmAWjokI1NE@JQJ{fRceo~ddIV3e#PnYg zDd7|CK-fcc670e!!yfi=Fm*nzP?bxJv%dJs1dQ(!7pJi zz+b`llCPk<-`KHXTIPi?m>L#)RGtjFSaqxH3pa+ZFGw^WpI?UpVm~m}nNc(#cF-FI zUF?OtP0+<1bHfd=My`qVSDF({Vt+?HKj>nAw0$|~;+kCPKVhFAp9YWEp3h--W-YwF z_Me8Ld{fagai^^B8g#KAHhp2=PY(>eKud*sH!dXA{W<7swFxhEA ziT#vY1$RYP*{x<4!`>6VGwc6`{owfkCf?-Va8^<0hO;>TRcRxy&B$=1y9Sfk^EwDV zFIV&!+&S}#;1|0C-@s>O&!}4p{d^d?`X~66@XEZ^8Dxt6jnG3u7w=BKdjGz4y0qTocWiU)p#gCX?+WN{*o$;N?5+4@ z@QZbO#$PFI@Y@_64YY=R(P#tvihW%0jLfWn#6DKX+e5`-H+(6qMXKQD29J0%?SExF zPNF88o`Cg%So)i=PqF`lec*folU-ey!SiL%#V)(rIzfxw$?q#9v{)K>ZFpO;3$}oL zP;`cUDD4Gn`zg2qurC@X!$|3pGA8>~=LFb0>r&W9`1RSJesVj5gnFg|zssx!?t{Hp z9?S*wa58HO#Qxy1D3pB>uBQ&w^JOTz3fAIPTRYs&`R^g^c6-qSvl~mrdxD$Q_bbRoO9q0+LuXmGSUq-HgX{&nv zKS;tS-D21){|%VBQ}4yzPVZPJ=oPRR@28m+(6_MH!J6O~Yg9B6rP(w8^+oMY6?MKm zyZBjKSJ)ly0bhhwb^ZbN)$K_bDa9sk56iOx*2ydG`TOEy<2cx58)353l9=-Qd%(58o5P;VF0dA@8NL8ANS5A z(VfDo=vMf^%qw6pZ6UGGl@H;)v#0%C#ktiTPJS8|>n@@W>gxW}#Lh91NI7}x>cDF-nG zZvLVacG=TmENQ2ReM(*)bg`f0S4r3YFY}t3O`1#buhG=|P46u#71y9?B-+A013JUL z-0lPWoH!81n(7z3;lb8vt1=`f)Ufz+3aH_K*p%4~zl`pN--C}LUjglPUojF5u%*IOY53|?pp{-^2 zD6)-$__ z*F!-UdqFLMJq7<4JYx6wi=c}=9X|zKT=A7?Es0jS;g<7?6}~0x!u7C+u@~$q7y?r? z&9T^Z4h_24pI1*Q^h!wy57$^{FuY=&0UGKFnKjgt?a90k?;5SggD&0!P5xCu7yFd# zbiaaT{L7e>@MqC|U_Vm(B~x|o8!{^(u|LY42m5yWEBmQa?33{}*rR(V>?8mFN+@tY z?2E%QK^NChp?dio>^Xidc*GvXH?0%sa#)RNBtEpBS)EMh|0f~iGgytOz!%o3XjNwQ zJ~5X{c~<=~&%N}_$piPv_3ZROu|CAAzlm432RQ#9$ceXtNo>>Guov1luur$rgGIw) z-xW3pdh5&zNbKJnJ{Yc!7W03Y3lNCdSMQOq9=nQkKI~oaWblg{vZuwLihyciKP}7f z!FU>Z9UeTx#oGUhP6=JPWV#jh!{!-yFq(qc_@QDL#h%x#Uzq)X`vko& z?BFU5Bz!p>X%hv+-UXxJUC|W8b+8xOjlnOj%bthfn#_;DenS4$eu7&Ba~@QG2==No zC?xjwcn5Y6?*)(8)A41{#V-2;?91xU!6SCr)(?mKf9r>f#@fIxyah~L>HKe(SyLuH z1YN^BI(WtekGLUw=D>PhXcU*hX%sd8Z|4H#%)VTFiSA+i3idGmXg@)$fi?FEV*UAr zzcq}Mf;OjJfPNmj{FlONzodqhaAOtNLo^Le9vU;NBC*f&*})^$l&OI^K{u`AC)>BVa1)t7!d}(oM~am%c5s`+UW{7@kJz5hK^G6r!CjDa`me9`CT5eO6YHU( z9z2}&a^|CXS8(e+S_CBahl$%$gFzAUYIq1E*?DV!Tw;gHB1$y^g}QuhLBLDr{xUwSnP(+fc3jlLfVy6Y1|1~+I8yQ~Vd%(yg1tHcPtD-*E zX`pXrH754RjmmyCcB=iEhvj-6L9aeXnEypKQIS}yH}wG4T&m$!uov1l_M?kC@vg?U zd8}BlU11M(ADHaa8L`*F!SET{e=7WtO$0GNvw{%o=v5H!*^}9evG-q!2E<;B1L3}S zRsUqzJK|dVslPHkC)AjD3}!X9+NR9zP0PoNXF*Tcz3C5QO{;n!ydSK38m(up$@&y@ zrn~z6&$YoM_U(2y>|wvl9s-@4SwV|O=1OZ979$~6V@1veb7_}M?+CNjm|uXn+Y`o7>1 zd+r~D9q8jh|9kL@JLG^qgL9pg(pSMGUW}=U*JFPzdMWm^;CbuxU@`3Nx&+=iH}GBX ze4kl87HcXsDlPw}`Wb(n|K*gZ4vV-ho4RCO9|9w?oO<11v=oq8QH%98ofy#anS@nn~k*|7IWIg>5 z%n6yq9-_~)Nxff{Sst-JEwy~IIB+(BsXKL`Ev(Oq^4G&-VKqF>o+?+GA1Y6f;q(7F zn<%_EvnmpI%oTkO*TCxWmvHqw=iUH&Bw9R`1HvQjko`M0>&(9reopV-oWb)O_R2pn z=wj=GgD$o{%sN4v)d8{E(ny>GH~$jEL>C}K?1eT3rd%p8FL=b>5q}A~*u8%;=wg3J zeLd(ECA4BSjBh!E7MEvM)MBkzMZNLU#gK|!b~Eev+rrIr54!_H?4cep9jKoF$At{B zdvJ2l#qPm{K^HrSORUr4q|EAo*g?#(hal!WT`4}JKNd2?uJj4()UYVCDixoWN2Sv< z#c8+)j9e=75_?Vb3A#9a^RDy%ND{tUO@Z5?X*zBT`agpH0o*Tp>Yptd6MGlz7Id*Y z(-U?;yS)iaRLB9pohZgDg!_EJO?;#RsX(Ysc-v2G=Vvof0 zK^I$pIp|_{;MJgut-qn@Qo{_(a#$6$fLFpkhQA60zO^5p*bT3RTcN3EEuSwsBlh98 zqjl=9&zye#tAzg@#Qru>k+>#TIskS9huA|!LozF9@lgDYynbs>W>49CbU*$6^L+mK zuX;hEd4K;8_I+))#l;A9hkY{kg%8S&T@gG}VC^6Uahvtb-UYLpb=JQU%20th%^6j8 zfw|V{rC5((`5(8Q+5W!;UF`aw4!XED6`0w7qn7phb=W1@s=-_e>v^bV-pJepzX$VH z(wK>Bc$dBscJm(vkJ!)EuYxYtSgP#*;O3J+31_VLLeZGm`sP6wTi?Mt9jJ%>3Ge{x zG$wY?2f_I|Qz;!s!e5x43;QF-WY|5M0#ir2Vu`tORoOTxYU+$J)`?&bGE7rU41{-fyS)-c6NWnN+j+8*{rXfN0u z+vgwQnL(m?Scbr^NbKGm25&=#=JgDFw7B4oXqvL?t!H)+H=}z!-QMhB{m;&cv~A$t zxuRvTd--8=0e*Q6%z(Wn zX2L%I?t{ImAA_kP4ZGM+h^K-s_V*Ht16N+MiD6utStB9VP-{p(4W7?}N32J?JlnsV zgP?3(X4NC^kUjljPr<=39jpH9;UOf-*)$vm(*bK%MPhHu%Y$c1W_iSZ&Rz?9*l)0( zdc_`zSugXM!HvzzCRHTX!J?i$06XeOvqztz7r@%z@-Kmp%>MREi|-K+fOkZ1;x!gN zJo964y;$@AHVH4ZPhl^vZ(*`aWnSW*ysM(F|15N|x9%R+38*)$^`Qv>F*gx=j4)*E)COjB_6R(c{Dvq!Q*qeALOtvPwQW{1=0jja# z@N8HCt%f(NsaDkL-^~R|;?XUt`WdemqdE?DJ>y|7>0iO#8`JEke)0OWpCY}Pgh%*x zn+Rw&?4|WU&>zgKpv4_?(68A;dRg#@HCpP}C&BYcg~S9z4cCdwiYNbA7%80$V)twu zJQQ6OJ#J5C1}oL`1p3~YzlE)T4|mRb`!}lfr=O!JVbcz<57nKriFqoCeK_v|`&j82 zJiRh&RKyxl1-ei0h&5VGyhgpjGqGz)Cv96(N>yY5749N+Z#5Ro% zrZK@Iw&&vDnG`%?du|Ax8NnmQQ~f#meZe#j_AovOKbNDv;H{$f6Jedc3VI5xpFF5% zPr@hi-o&f@@^BG@kxFG=y)&z9@8vub#~`ZkZNVg#S*AJg+1azn+eJlfGs`3Pwmb#i z4Zni80rpj=3D&4cUjXw~{l`Q~)R9oevS9kcCUkK__U!sj5rnu`)<*|@OlAcq_5pQu z@Jxf#{+DS6iMdqN$gA)F6%B|z9Sv{|N3T5nS9EN+GB^A@43^5g7Q>#JSK%GdGPeh+ufWfvz8`!7XJ z!e2Lim@=xm*q87Y?-f_lwlMXivSu|VUO$h}_U{+>9<3{^2bD&sH_TfKPOO9)n+W?${F}2U&A$>p+i!vAP(T&!`$17r z1Kc-PGznG^3i__#5o<9@e=_*~IHVP7j(!^o*; zV(VM}r_jZ2Y=79FnMVYVxVk2o|5HPTSi`6uObEJIPdw=t2VHD^a?r)ruL`=@`ZVb} z|Fr;>aK;UxfY|!1po^{F5p=QjIYAd&zc1(&C7khK$Pin9H0WaM3xh7U{#4M#Isr9u zuLNC;Ui~@Bdm%&YGhkKF#n#sbU2MJeN5wi2d*5#rbaAU3P~AtI|8Saux{%R16cGD) z-y`T^>-z>>?0^ObU2Ol+);0f1IMP#`fi8AM=LKD?HKQTDDClAxz0$7?y4Vd*54yPG zhHnoUvtixmTX1O1hX>?JzY89*K2J6A+U?_F6vf_t$HLvS=jz}QH=qB%BXLMJeGKoH zx$`GQrD89xU4kyQ-ZSW8>w5=XY`tI7^ZBoYGY$v^#MTD~U2OgEpo^^^6Lhil6M}w1 zC1jiuGQ=)$M$pC9&kefR`uRbh2>UrQ3HB}d7FZu0)9=yF3kAelTxss%dRPl;S@4Ma zp=s{7{Ir-Ru^-1>f-ZIt-QZD_Q=QX34d*|J=1=Q$;DhpG^wZCZ2E>afsD}UWd7;mP z-N1vepK>q3-Ln5jxF+)&7%ZhfCZmK`dz&vhHq0bL(cJ^{hN;Th1#0*cbZs;7xA2~s zyM0;cyO2Fk$$VD!t25(ZU(qTPNoX&s@O7{ssegjCpj6SHVSoAhD(oLuei1yYVcqrQ-~6lM zrql&?J-fp8425^``9F!o(Yf#|Fqnp2tW~N?KM49qnbmvo4dkl>7k^!p73))x%H9mG z!@GKa3#>&d|9xNYR?OL>WL%G^0tfxKs7UPIkC}O0*Oo6<#_=B%*Lu_6s-4ImHL8JC z-^Jk%9JRS(Z9kT9`VWwA3WKFuewrxmAf{}u;Aw#Cq#w+UohDu9X(5!cRjz(>q=diZ zsK8!t*TXHTsEOCj)dH#GvodSY#QMpmg8mcivQNVA*l-x{^4|da{`z~^o8(j2%dO?Nc_OrFI*{4WzXlZo_lKe$DptCU9mpvVUNn5u#Ob@hr?<=HJ}93m@+Pf zt&2U3jX@V%|5eb%-nBObUF;3{NTF9sO1QwHBBLa>{$kLVz?w%*!K<*o9?}$S_WE!^E^-Qe#T!x~Se<7fjP(M?C}986eN1 z;4!Nnu>zInz2I31^BEuyKQ%0-BdMbOf-bgx8az0A{uDg(GixNonhuS`)4?NlKub!M zqTwaEfO_y2?B2W$`;+%-nCuj&*msXoi%#jOuf~pseN;EX_KQ1^Q2lePYyOqEIcM~# z>D0WP4uxA~eK-uJhQ*$OV}m{tc0gm{-SI1+TQaNRzX!j#B9k(H3K`;_S>JV?PR$Rb z88C7RLfk%k9uB&ARMt1EEdp%^Bd4o*bDMc#wP#+w0vGv1(F0P@h0vZM%p85B|Bd*W- zvlS9PG+qrRvmzDyOYv2~W0ps(%Y{0#{<_7w*#!3eV0)PC)UmqE>6g!xID!ln{zWiN zfNRmzz(lxT_WT)kFCPp3g>X6hpMf3pir^9ZAX>F&Jv(^BzGzH`y@O^3|Lt(cR6q0o6bY~7cVYB2MB+MFbJ?O?=wf|Fk-kCD#h%Ko zgD%#0AM$sBHA3R<^3Z;2ObI_m2Ph-!1L0b@1ye8xo&Y!US_lv4T@|%iuT%34=RnvG zo0DOW(CP4y?0*>6vtMN^OGx;1dmlzi0g3$qr*{297wcyfD!hNt2WD2FVt;NO4f~9_ zG5BY|bgX*+pA`x`3irtktO^Cho~DgAC<1B&`_$VO*0o(DbYbv_eZ#&d==W^E`S0O+ ziVWXsehMb>xE#>wt&4`mF54J%@g~`SW6;Ic=UCVLJE5Ty`-_by;U2l7|H59$Ke{k^ zYhc}ERJQwu#jqa+Bd4hpmtjrW(Loni+`!b3A@+xayMr#iAy?FUqoM(^bPfC8gD%!K zmi`@F-E#b*+Q!X0rBd3Ngzx!#!j5!5m~yEH;$va;U}n(8$7KDBpo_20`h{(Zdc;0I zCMBKmSA$A8vmvDeufm5}iUylIa5Q`onZ z)xjg)HG4X2QuIu`ThivI$E_OhxH{tvzKTQFN<`b~hrbW-h{w%j+(8V1nt2J>z(8Yf6oE&tqwx0s}MYGQQ zE3sFu=-%cGJ`=)@^wFS;ea=4{^u?Jq_hOIAhruJ(j!@8>ZAK@x|Fyu{D#8C$SnM-k z_pHm)3!afZi{TCVPDZ_Xt!*({;?ruX4eYaJr-qZrS7W!ryrs6p4x;jW$XJ{?^#IoC zrUu>*o|UkMSDsS4P7QZw&)qPX8kh^~YeIPz!Chh1^D*p2{WZL^&VNO>-Bv|LC%7A$ z^dn$@-*_hM&x94YE_;3lgQ;P$J@bPu9+v&JTNnP?tvUb4lcDG)gbZ=tT;M_2C)aDi zBi1x2(656ocF^l@Q#2;_6Ln|nntvtSz%XZ^i#y~7ei3xB8~An5#jfXppo?A4KZ7oA z{trmK88XD4r}u*{)}vNE{xaxd>pum3P1dyz%G-8oxQTL&yl#iHzf!uF1X`NEd2lVP z0uRCm!7A`wQJ}QWc7?w-v;1ORv*h12c*MT0Z5woPYwbT3?n2_cT=*8)SFw4afOsGt z^?nsRGV_q_i|up~jGQ_nE@#i>L7xJv_iA@~g#_;@>IK0ho|FssYF|_;J}v9F2K~0o zs#JVUuCsGpac|faMoz(Xhil<<|L;Y@A07@1Cb6Fd<6z%*eib}ZVfSW6_Gk(ofVbdX zqxCF&Smw=k=+yiRcAa0;jrjtjcj`naHrb?Hz*li<;?0(vKSR>1CXWhDtU zB-3ZGKRRvPsR%;sEwok8x6Q1^#NBc|gM!B_kJ!(G^QxZeFA`56q4Qa$XW;vCMdx%b zf)J|#jnJ*|zL{T#y-2?aez9)G@^|f0)FVD5>%Z($DKdUZhAX-r_D7`qV6TJw;rd+o zpRmt>UAh*FcL0o~q)`?7+I~pT#p!1On*T8&L+ne(c|jNZmOLrw;+E8*US1ybDVa5* zVqI`F#g7M%xZ*wi?~t(!uEngv%V7ni!XLx!c~@iQor+$H?QadY&Hg%ABPaik@Sx0R z!8zzkX*>z{_)-{88oJ4u6@+*XbOrH9@QA%&mjzu+vD9#B=b}!rcg?2ocG`c6Znt3S zo>>ixwb0b?*})_BVRc2&#pT@aHL#~re>$PinfA9S%BSQvD%^=E=E z_PTjF=wfZ@bpL-NWQbj0WzfYw1HK5l*!uTD7pwOgx&H;d)U8-6wcR-Xb%l~?2Qqjo zsTcLZ)CJa^PM&>(ry+BTE~S!K7Z!OAhCA`z#OvJPA0PbU>LO)%PMO)^pqB4fCh&t$ zE!J9|FB4&~R7>6M_`O)IG1a{f?2FOB@cXi2KLm%tI?Lpr9Xw)x%y>QMQ;3BVd+StS z1_|vX1wShXT%LK=pq7K`31tq7=0;UN5S$M;JHH;(a&To)&eF&{1A76t*rikRpMxI< z`$NnnFg2!;p9H%nQ(=FIxf}K)e0A`P{aIp%T~j@yt5aA_loUigJcZau2!6nJ3f zdte_zkHG$dVI{2gQ*=srqko(-s`_V{6}4DHtx;J6*W?D)2EQ0<@^tE1^u9}G)g$(b zJg`E-QI8G<#O-qfm&3j^+#fs-!k&(W@NK!_5xt6r#btCgJPK~ep4srha5_3aCXpWG z2v=DDR%UL|h51)v2xdjseea?H z@jkhtvw|*uCF}e4DIOdL!Tqv+6%3}45bK;)K(_|{Hn>dtX>R6_P^1cCX)uYqqv=<5 zOZyblv^9*98W8UQtATxjF7|zY2<%J4iLmc;l~Y3jv8Uk7po@K&j1Ril`UOE3OIK$m z1zl{tv8q?E&Pq6AYA7JKeqGST)@KA=Z2i`ti>=QN`s{tUIy>X;kRi4{&pKO3?2kVG zXPsV(YkAicydQM2r(ku^#X3t`==`tk+o_>H2@ThQFmGvI#lHMs4Eu?51+0g;8oN9A z#RJe(&&RMIjo-sQo_p+D==;MJe@QT$gb$ow!aL^%?g$0$hku>*z4oh)kb>A3_6k27 zMoJwJ`&2zT=;As&l&zF5AmP<`DXd{vrB{Rk;zd~>(64Aiq-3^B|mt z8qXs5k?c9YLG`fz$_NuvqPi8uesE8Rdy}t#7Q-H`x3XVlmuFTG;`7lI#NqoFfr=G` z>Nz>+Cs#=Lv^qOvjL!vB>15ak)RN4qs9pc!$$2#Ft$RF7cIuee(|U36RI(@idjlo< zQ$UqI3HzY!}TNV_0eKr(U`avuPSW``{UQ9uy@BE!7ujb#9_@k^RI*=RYm8(E_^-g?e{F) zDSJMJ!IA~?c}pI#>pv{$!{A!lPrV;b;u2WBe;M{u@jq}!G*#OA zz@k#|nOVOe=wg4Sn+Cg{Ij}qSJnXw<^7*le(eiuAxpm+=BHu#-y2jDDPy05i^X*aj5jqlBy$VaidYwU zHFhifChw|r!XZV_(_o*NH^JT=x57Rd?>(eaWc-ng{<)%6Fqj%Pt6}kj=xTWOV17=^ zV_6OVG^Fsa$t=J4fb8G@(84n?bBi{Zl+e~vrHf`Z9^LZA4vUa#vh-9a_l(PRnK}5F zmOE8yvu8>0h|B0||5f;J*>mGzMf)>go!Iish5gw06!!9IF|;^3>R{|8)oZ3~B_(vb zR_wb5Q+HUwsexWFQ=L55!b4#V+VbER>l~E-BUq0g)w$WQqG7S04qL(PN}LO-X!n_S zjA(geWf>nxebRpfADZjfWB1|%?|!hax+7q(iW6Y$se@vlnUjJp_QU*Icmw=uepb~} zy~-%zN^h@bq)KOJRtLp?!Yl|Lv7az62EA7v1eF!*eAeI`nDugEma-4-5g|kDvvjO= zDiW)pDjIJ+vuADsx)1RiV0Ub0@XvyiUssviNbr^_eI}U19aQS zIgJ*)Yp(O9;8_Z*1M)0`E8VlHd_+-^*r&iIL2nDYm+fFrNB7_ndovsubg|dLF@;_! zjmQZ_BzC3a3sXt#O0NpK*p8C&%#&<%7ScRp367nb(@k3RJ9fM}gKH zRZL$y*mc$)#Z|`JtQQ%0Q$=P~B-V{W6^#uZu@A0^@DXi!5g6pzp0gRNUYz6G+;+HUTNo7`LR#~x5Pu0KvF~z-X6IfU2 zR6q%T&wU`=5xt4mSu*iIRVubVJ?LgNCU#@@!M+6kDfq=bs80b^-VYgKWvJoJM-+|q zfss-W;tsGpBZDq>aF+*NZ2z4>pHt=PZ-FVHZ%7+?y%kJiKfK<#9^d)fGt~&ph zk?^Uv3O*_abii?)8vdMl4cs&HZpRlF_r5T4>b-b8tWlg7bg`%PouIFPwf;(4I7;|L z`#G3OBdZO_BX*$evR+0O`xx#T^lq@Hqc7}#cE8^V%|Ta6OL%72<{*~Bx?!mYE5ox~ z?D_jJ@JFy$_?N*i?n)g^yxR3>)4*FAp#~auTLa;3wExuDNkv47y%lE!U3?H;Rr*%Y z#ph)G;1ipBRuXG;A@t{Cr6o{ zgE!^9iPy61k>9LJ#ris2{?)-_mPf1)`|`9Hoks$_QkxSpiEY{>nA!%9*q$ANr#^VZ z_Us-!y@E%Kr~0Q;_7A3k!6ep0MNyvtZ_c|$;z1p`trP#;qVX{lAK)eg@3TOzdJB0YC;1T-)cD!{O9to$Qb^ebc zaRdd`%ZF^r>>fOZuA@{9ya2o5Z(%q5b0{nJfm1uC2wLob)`zwJ(gJKvB0Z4^s2!{= zq=0(DyrmwCy|oSty4ZKR<6sAUa`1@Pp&oT$46M(;aHaGMn`n4KX3dSb4wHhIVNYgX zx$X?Q*rT%4I`x=Ur&zm5b-tH8e1_L{TA2&TB=$q-`>dBKAa*ZnPc4Rby_s8#ZFxmS z&zUr(RIx40;!f>!g==6sTiV&rB0SxKzdNk8t+);dowx;J$b@9pAv- zBtODPsbR5ig|)rfH2?IoCv1<{_3sHc|2kzq5DL8a?B34`y4XSd z7WOW0g4^jqrilI$3W(jn--0ggh*$oVaJS5D_AG)Hduld^wI1Z(E_lQ~6L+bQa74X= zNxWk&d`!^A-p!}LE_tMk{<3DDT*+d9xzd>m?(W@AbW& zAMg9z=NuSQ)O})GbPt2=;=G^dKj65MTuTH*%dzlGI7*0;R5?~{#CBwu0$bs(GJhh8 zbqG`8?(}@__I$+g$)Emz*mGLsIf-qw{|&bN=VQ;_rf*VXvARZ0y+3UGTPM#)tYfEq zhRUw_uO^i^kXMy@oJF9<;~lH^Vp{=kg01$m%%8YoOXnfar@`|PTleK&@tj`soW!;s zdM*_;Eb zC6vLH=I>ZDLd|^C!)Q<5~al4U~ZbY{7ZTb6N^(^eWL;J^O07i?e_3 z**7~@nqnKULBFKSTERq(zk^P~meT$fK%m%aQ03Xhwt$Q`JDG`X`<@CHlExzbuJU}Q zIacAsIU9`+nG+GjIuj`JBd~SN)1Hsm7N6y?ZB(y&K4M#v*PES;jVS~d(gbMFp2^?m z=H%F>+!pNC62S?s=}5>}wGnH@R61QfpNwPqh;22jf%mcX{|banrz>5AViFSDEV#|{ zxx@1jTLl_mEA-=@kGKozsYM$-dt)`iE`~S3R)|kMC$XK5e)4>Fc|Kwr;d}Nco&2{~ z79EhYUTlv^J+1stP_W~HFc_$=VzUp1ZL6qucKM8f?X)@@wqE}|OmLKrSdC0xx!`>- zKx}K%*RW;wt>+`|7e@{%1|*4!t$xROcCoe1nXqlX=SDuPt}3-eyxa%DC9sXjsgYCc zBep$i7Tntv;swt~+{xKL@a$q6C0jlF)*Ql0{S$0M;NXEt&BW$&1Z+*(7q;3A@chL# zA%}T(u^mq?clPKVe+i3lofko@mYB)kEVEZ6tO+B#tzQl1gTL4o;Fn>m{rj+0=Cgse zyOYpn7g>pl?bgUIu#JP?oKG_LMPpF?0wQMZaM=Ss9E6^b)x>i^J zAA_(a>I-9v`blg9=Uj_G*yWy&*t+Q|*t+RP&qv&ec{ooi(7tm<06F3`$^_R2DOfrnYh?xHqG-9+nA_>t@aPVR-lFE zPkgb>xaB#|X@%z`wsrnZxQvMEzb`%iEuO#Fju^jrcCp5XTBucJGCuZ(G4V^S)T{(y zHRu4_B}6aSYS70;Pz#8ya3{jnO{c?lCYS(Q+=-4A_cGY_i`yz~{U-nsMNmoafNied z4`Yh%_RNEoh`M7Td;~22w_wX`t@&dYTdREP`F!5u!}yo5Qvc*R?P_u2UOoJK5>yx5 zcXD#o_Xdn9D%={!Dx6q@PKEo%^V#nCh)>r1lhZLnl7#v?RzhN{!Rh9Me_QU;S(lZ- z-Ca8UVK6F?*jlR+p5}a3dOqh!SVF5{Etx9NR?lghV--lO{+7>9SQ#whFZf-;#rYTN zi@N5Dok`J3;G^+Uw3A?7qB2&(mi+(v4*a%zWr!H|mgrzRw4!rF-oe8ihe>`jp z?dh;J;JIF8u`NgE!*<@yn-3W;aI8Xzt>fM@9}O)DHLrSTodpnKJ*?)9Y5-pftJzPS zSRP)%tNfpZt%a7um;x16+@4qYyye-&=D!w>e!N0X?;vd3_&+a#_!PpGapy_Nedlr* zQ`FQIj#W^x-M{Yd`G_<4%cllD6(-GG@T56m5UZb5pbtH}_%LVx6}HW^@Y3XYx6Uvw zQSHUOo&VWpC*vx|DulSbj&`cq?>wi8a4|MHO@Zgb@+rD3X}V${aaE%Cz?Rv4Fs7(*V(ZpNU^{{? zcRtajg@iTfi!MMdAnwMiYQNdDiz!!>aobv#83tpuoLHGDZYS7gO1bA>QCpslnq_8w z;7vu{qOvG%Uwkazi#`AGa53r0rxvzx`5bJU!#bGYsBHg%?O?YP9^mZ9Oimg|+$pwm z6B}Wzb+I{-+ITqSGM)%$VO8ud^KoqJ)9(rJJ#oyD-Fvo`W!EEbcHgeCMo zYzciFIpIS>n;fep#Amw#^|(B#nb^izKiJYd3D!_iUPEAOq2W0MwkU()upE>@6?_(~ z8b1yf^16t>C9rJbBd$o|c89H&J>h|b%kOf}X9`^C>{mK_bj+ECu#D^BGUv1qu7s6Y zGkm(^qo%lw(PCS*Pl9bi3^5-nGZfY;uZq>cb~?FZ3Qq&rin)*gYq~#KWFm=GY8B!M z*!Gi4Ydj8^zod01{lY)w^a7VxoMLzWZ+n$ryGFuBD?h^Ws=Oea+zK3luYky@D zw*#zQU4=dcw!S|Vwpo7$thF#u(@R*QXTdgb#>mO}h;7lk1hzpm+4B+Gdk3>UyI8F| zlfU~tdrrbKTj&Ld?e5SEo?YC9L{zhlo?UF|eCFB3)=k?zyO;{Zm$^T90b;A!F3&DD zd*M~CRTxkyI7MMJxQb!BEbi<17|Tbj3aBMUU&UEO5mcKy2q<&>By8RBv?WBC*bexA zhHb#U<@t!MkKgg^V)Bpj`mfpbG{6ogR621nPAc6lbHZ*cAF=tQrg<#^+n!YdSG#m3 z!d98f%%3oE?pWva2;vx6E%Bij;bT}Er0oCp?EitSC4%Y6AQhW^Z_h4ncJ&g)Q!jp1;@zV`F4@>%WAR?tPe6juQPja*C&@ z*lvaHd3Dn4ZDAXLC2)qg>b^eaucK%*Jaa-cX7HoMrG?ljLXwvDI8vy06>-Ls1=;~8ex2U{hqd1t}8 z0k8gBZBCA@25(@uf%Xs2M{KRN8Mei0tLGy&`*wH_t^XRmKY31KyK&dPE@=s|O~ndW z1&Ue@wln5H^QW6a*sjJ#!YAXeip_`1c~!o-KO=NwMq(4pOO&YCYWyi|U9iP`$iTS3 zu^ps;!^bwNHrFN>MIB*FvlC2K@tc?uS_4$N3~bHVEq01dN8KGOGx1^0|7_U0V=`4kd@wWx$C29$AF)W|$a3@$k`&^$CRBY3@BW&H5 z^?bxR8)yR&c4K!eY)y2r7eQ<-bfsq(TWd{+%P5SRdcJ30;Q5PxiG6ax{q;%B<~df) z#P(LlQ=ZRK&qr(*Jg<5_tKk$GD4jJhFHs9^^L)hZ>{|3!FCe%fiJXEpxYWFd!n{OX zPzD#n%Af)s0n2APY%Nh|{@BH~wBHI_cifY65tP_{u$|qWc6K%6GMJY@K4M!yUhwQ< zh0FgHvs1X$j#W^xPTMNz`_3Mn|2KF}V!I8w-Pv{ZW2}V4s(}*v$$T8!piAGFv{tcW z#TDzsthm`5ZT%;p!gCVas6NHni-{mEbdiU_w!xfjKBQ9xTi;j1-JSm|^FfQ_i&y5~ z9}w0bi_M9I#Fo$!xUWm7*?iDq>+dgMn>AZKAMx?{F5<5%>y35a;aYn%|5Y;ydtzp^ zElLz(tYbBuSnIZ$Zl?J-w!t+QyA>ku`G_s!hdld=arYKA7vvf+sn?awD%keGot~@M zF4o%2i0`YZPJLmufvRx=Y`e)=ST^~Lhiz!*U`)|Gnh|q+M^Zu&RKMAtld%dXwp(2b zJs)HFh&3{l&f}iX636lp+v@V_467vps|m2P&3bscOK9*-NvSJg8yQ1kOXxyaD}idf z6y_zWrP%zJ!RKOE;nFjmkFElWolxn-Hg5Zv6A{FAxp^jRr@Tq9oq2AAi}6(oB>1~}sqS_4}`Z+rgYBc0C<*f!|YESDJt6)R0utSxM-OE`o4Rfqz(5w=GNzM9SYZ%y$pi-4opM)(h& zU2L`a4Yv7RbbC^Jv2{lqkN5F>#J$xjDgGP0;)Mr`_9@JbiL3M)*_Hr?Lj zr1W*L)no=d%=xc1AGA2*;(h~LA$OP$=@_d;#GEeTkLMh8N=ZoEK$(c`5ssrgyI9Mp z3O53_kvprp`W9?0x6b^DBepcxd;Ayk(N^5#gc232mMZDLy$IiV{9orE?TC&QcL%IiQQTHT zlR~E)%SWt}k$gJAS_-0?l_50U}b8kw5>5?TA%lcH$Z<9kHczwdYgk z7@u4qfp1iz26IA-t)CwA>|zy2HCO^$A>QzO#D&i1P1w5fea}Z+Nd8fOZ$Pvs!Xo~@ zf^DD`4NID_*s*FRwnJ+rY<)izKHQ}<74Gi%As7sb`4e~JRe3e$ynsg7M)gOYU2H4i z7qE@?Z#^HeYOF-J!n@)-cw)mXl`G{>6oMm=0u7WM&YS@Ry%<$~9%s)rKYy{~9`byYJ_GlGGT`(N( z3ada@*glSZisw@YmpPvWo{!klX@IpTDV?>R&w5znFUm|ptCgH~dQQeFkXR=j`K0eC z4|xfciMWv0MbfY}?}46=*nAE)yVn1XPACJh?O@%^iA2R}c4g4Rvx{v29t+#7Il+8L zvp;NOB80Vw&E)T#$cOrC8?8pDCMrY?oOMpOz_w`I8AXU|EVdKxd^m%@3iqPtBeuc2 z3hv{4w!_Lx{yTGCgq?7q3-}qfg=POclcpA1Ef0pRaGhZr$Qjr&tA=gao(z+GRN*PG zS~^<)b6&s<$7%`j(KxBOp7VT`!`7rLVO^xi=N;It5B~1?Z*r_O#n!q7bG#dJsX1}! zlpgBIJmG6RkaO8?JA*ob|^iy&50t7eaz zoy?ZN#n@EPWpEeg^FC~a``G*m6OZS05q|^jiYux3;^Q^{6mT`7Wli>+ae=#+P8cDV!M89bB{}hg0_V< zgVaqGunoEq=0n(sd$<%+A1kx7ya2H>p2=SoY^DAkY_*x>`HOFK>HO2Pi!;u?=iDT( z5*Ty*sWJ&W-*tp_WLANC!!{;Pun5$qKWwYyX`X$!W7Sx!%Wzd-lKD8+%u@RG*rWAd zQ%Is1qax3O4}%r?DKE0PGd4wD4_iW?nh$ZC;S}LYXS4HBIzPgNF78jTt7I$NgWiH?j&n~uw z|lhftJ2Mi6FLPcnI6_d4~DW5+fa} zRm7V2Gx;0u`54PbtkqUN)9<(SKNc;`ejQFnVpDhg3AQQsBy2O~1(%R~-iCRJYALoG zP@6rwxELS#Z)>sB{}L8qk9kQ#V!LYD-|W<&6i$(dYSR(6>%eN*#?aNU#l0EEJt~~o z@@kM>^G~Icuq)X=!(Fhcr2mi;|KuaKf%&;-7Z(yP|IM&v{GI0`?&^Fx%y(&0ft*B& z0M(`xwngI@&#ABH(;psy|4ja_g=<}+Uz$H!Jk9xx`h7CCE`l*fvuQj`rQ)|@Y7r;9 z2+zVcM^}0LHXKFfKe5$#Eo@Wn7tcp*O( zZ-NPqxuE2FXEiY@MoUfe%>an~nt z`BX7NB~s*OSm!d;U^{FxV$TQc4OD*89@gfxh`(X*ZH}8@Fsh8W7*?7aVY`t1&e;|B zCwM>2KZ(5`OqSlGU|Vo{!8V-+!nj5mgm4O029>a#f-Z$IMQPp#+vfBdTT9kB9@9>}`S3z+W$ z)G7~o_6EL?uz;>fKp-~zVV+%V_HLeCZ1x_WU2OKFJ-aw%i{)`%fLIaK zbSHRrvDpWCcClq}lG$m+O4!EJ`DQ0gvH6e7c>&|RfC-*mY!N1TcCpzfo1KiOI96AR zZJoNu^O@^7Cnt%FtMPJJrPknj*CIH!f%c)|%RgvX|K@L?8HaSBOQhoo${qAHu|TZdn1x`7-`r@tnj~ z%eT!=290nRm%&!Eqs4Y@8=RHgIPVBsjXS}G_^QU8VP2vIDkHn*-%S3>y?_eXjt~9e zGUqeae9&TR#__PN>eFHCg4;ZQu@)ueH3zm!j@$yzNlayZb7 z<*&^CVRjO3hBaU{<~GBe0OIxkM}!8EnrPp%lYt|)!Pp(PChY+iI{#jtzt{?ToM#uC zeSq01M0hsqzm=$x09$U)F()z+*YK)U?|In9$19$X*b21Tvx{}uQcJw+*~QTyRsU~7 zSm{3ZoWwQ@zVYm0>*L*?U2K`Ptx8%^Z2pIOc5%)kbn^nl7GZ#A7n}d7o?UGIV?Ddr z{3m&KvGdOb*LwkC+wX7n>|)DcfoB(629J4mvH7p?>|*m@6WMA1V!A-WB7EpY5L<*V zJiFNZzxV87t3Ys0Nl4OB`eO5M@7deu5SBqFFF|#51?t+zmbXeZ|+@z%9G6ELycNDDF5g!YypyHD}f3XeH(>=S`X48eR?q4hJ zJkJMCKE3uh0fo+K3Eao=CNF}x%-MIDot7|GtB6(mnf&c@UXo@z7*n+Lc5od1ESJP7 z1SrB7b8>85Fd4fQ?h22mdj4YhE1jER+nR6le8lGSfP6In7xDLy=Oi|#|B;jPdCv0@ zTjAdHeAaqCO}q)Gv65opYKCjX!x%TSej7B)*>g>Z)h?i#1Eexj4aUOO-;-dg{bhE@ zq^WCR4JbAHEV!48`#jtgR>d}&zhkaWBKvOaEbZ~l^3sO#5PML}pV(@4gl8AqWnUl9 zE;jo>&n~uI?o_i=(BZJ{t~GjU*GB170_+j7C0+!vT1B;73fngNtmm^FRtu@+UhwQI zVY`ZIbUtd8b>^doW!8I6n>?pa%n6@nxY!k93w*xI?Bqw1mJ?f-odplVN15FVtCHeH zp1(L}$Ejr&KxW3Ou~-?aW-C1(u`NVv;FFwB>qSX{#I{?Oz;@I-zUJxKYL_v*T~9xT&55l;fb19$`+73wc%K?%1smz4D9RD7rAW-c5O> z(FAwFO-cO6oBm?k28yanLV|;0{={|{u?yTEo3gn8E_7UD{-iHfJ(SmY_((go-D^$+ zibEIqudt27Pt1qN&9Ei38P=U76?)%Mu5kEtaIDP4y4;jcrRP&Qic_0S;c5a@13Aru z?TDDS2-wBSY!QEN!S>!-Gi>AYU!K3%dhQ$8mX{ylqm+(T?d<5JNyVL929>ZC;uOzE zY^_oS+i<(s^AX!ga1v~fx6FX8ptr-yKk7>fE9qTup)15au}^k)8S% zld*)=a+DWAY)$<;&n`Cqsh(YIGvaQ~F1D7K3tP)IXv>m6&fi)}{y0$Z~ej!mAeI}#>|_-XT=2+N=k+@1hsFa);9BU}XC(jE!hiai## zeW?~EIO@uIaIxcsuw8sT4`Ys69nOVg5X!9N{A46|f~|>qz;}z&OvHHv$mwI)Hn^=`1hGx0?XX%%{)HDL_F~uyw?Axy z`Un`;C}Xh|?s&MB=ARPk?>ULh=`=YxpVK`bvH6_u`P6tmVmmC)hr79SR(n1<2}|fb z*fM+Ha}t}+XP(by&qr)N|Mh%+@O;GPQ*>d}D)DDdB`lx=Y=t-=c8Z!#Y(C|l&*7er z*nIkWJ|}oSVw<`{F3iQ5Y2XYaz~=h7unHmjDA-2wIL|&FwuG*Lag8nsroc9vroqQz zpUK~op3hSA(Wz}2Lhp^r=`WsBlj8z>#3wtS{c4iTN*&8b+|~J<;Q93Te8d{0%Ij2E z<1Z@paL-9>hn|a_UGsjt=OaGFCG?c%v()nuTgBFSKI>uELb;#`VQkIH_4%7}r3B z6?fuQE!54ki!GfaVeKQ)Rr{&tM2UtwR_Vk?;-tb|>-p5fHo|AX%1k~Fdp-@G&tlld z)1N(`RTs1V6E9F^h)_Za?SgIpE*hWIOx)f1^n$Hl`*=QL&6Gv_UF+G!Y8|D26C6!% zJ>opeIjIn0>y9U2dphtHxQ9#h8`ui>1Kh>gOD80I$KkN84Sir-0%b1l$*T%C9&TCx zFY%njhdZaau)Wdyl;KVy#?? zFv_!wt@anew%ktje8gIa6nCCy7k75{=V1yLU$t*VT;c-Gyd;S{4aOWbo!HI+cX)Pj zk&Al|Y-fVUVe5itVcXVUhpo_my(E`p@K*vXp$}o(?>E6#2(g{-e)8$$i*PKRz1N8P0LzYoHu$7!C^aC5?Eq~~*q=QGjssfBf1Q)X9rKGPg) zfQvPd(#_MBEbRtDm8iJ%Pj|5K7sDeMvo%HWC4XSU}fHlMk$9abA6 zAL_3_2^%a=MFDZEEOo3xh-+L1ZI`%0pv88~8fmvTvJ;5VxHWxqkoW$nzcUViZA_Pw+H4y*7 z+5cd6)fl#BZ-DKRev{{89No2*uuY}-sd%s`vl7_W|169tnkf~qWzZeAse2M^tx{$F z#1UIp)_6Ws<)ith=`_tnh?*Tf6Z=g5-gI{PG%LtX()aptnQF~ z!*#6xEKpIv2L$L)pny$q%5gIcMn1+WoLCE&68;rdvny_^>*F0#+!i)_dyh+D#p|N} zKgbD{t|P2XNRhL!72tKQ-A7d3xtQn;Ecfr;Y_rp3vkbW*$=mji--j8qtc=B4Rh8E3uwGSxHLzZl{`$z@*8jpdKuxoYechZ=6O#g^ zVS=NC#8!wl&PQ?D!meh)e&%1nr5Su8;VQ^z&wp$Vp^~aZms$kJa+bZ;MG#-^MV1Nnk=wA~#|0_UFUlU*>bDI}=2TX7jS!|JiHG2htlj6rtlvW1TexWpn!MsFq z#afA&_n?1YOnske27h4zn;Mv7CYku+UOBn3xZnbu97XjL*m09VfNvXwV z@8j9U)&&DRyVweG8f;xT9JWGN!G$ibb78jrT$J$y1oo)8#5xnGK-0`ldy9u*Qvxr; zI-ts@3AUB48P9qJpXH9og1R{e=efXC3>G@HQ7AaA}@n6MTHYvldkma;`aEcaO+@8 zbG_#ywgLMgtoU`$cr#MbOpo?UD$bbeyb z1roY4Rie{j-8L29o;XEpHNMxg&vUFbUtCT+Rp2F98|L7jPhKB`x#pVw=ZV&mQk! z9K9%(+FAGA4>)T;ug$LQSP+FL43VOIavX1J$&GjBQyR;T1w` zW8!zP3ZS?XVe9Xyp1(Llxbm7m+1>w91Vl?h&%l<@GT0J&5ymyjKx_kTjb|6zz}x^^ zH+|swH^Xrk(Epnew&48Ni?9Q3Pi87<`f`_X1)0M-o~wYPU>mTLVe9W}VJqA$*rwdw zuyyf#xJ2_$89aut$j^HGvYedHyPo}HkH3U1v+b~LHU(EC6)Suw^z9#x*L0 z*fN{s*~OOGm9S+t4YqM`quJH}GZ5{0RUz(k0pfWuFHvS<8>ElIHmVoHc6fQx{28Q6 z;iHMG%s+*#KwCWi4$fJk!PI0Nw1ust`@?qTKM=MJ=@{7hz8`EA7!H$XRA{jk?kw0^ zq6&6{F&9kqB8Y9^O!w?!+t=@aEulHEF2U4VPr!CqeHFGAS`Ax4--L0E3MX!(^kaS6;|n&S!T*W+}WjbJZz_?;jq%qsrIKkQ4EiS^(uQc%u7@ou`Mi~#>FG&r#O6~BYZH?HDA>ASwC6Jx9^!l!!M2h< z4P%b-%}Ho3D&f~)+npM{2!DeugAH)K^B;M2QnRxht3Xxo8N7-gg?WiG6DuFtAA?y{ zi0y_kMVSTH#H_v-TcPV=Ta;#Aoq$6Z$MbhK7eg+ z{sy*1<|ohRXLu%&m010?NuoD8R)J=~!<7Ml>ph>pcs@<=q+ZUcfIC>nJMQP*@fzwD z88xH0Gd{|A5^N*99=5~9T<5Ra&GJ44c0qo|*G0Frt|#eMZ>Q$JmM+V$-ddE-zgL*v zdqwlsqQeSW>xNpZ^+owJTcyh*dmei#KV)0cXRURgtJTa@zFjK4|L=>_sh02|`GwyU zy}=zq++(-`LjtLs5GE%LE?reNkop-{0Y@LaH!r^51+{)ON-B zKNXc1w$=qltJm7(KigGwP(J*vs5sxyI^8BecTb}7U;F%~BB=S7qE`wwtax}=(WwOm z>sM_3wWz$HwGPv*wxsgCzANe=-{Snj;`ILcxoKjR{79?Rrqg}r_egil*LNU|3yRX0 zKi?zWp{TVEKCRx_BZ{~J^XgPV(~3@Q(%lOROX^pQ*fZU_pmiyWVXLRx{r{A!eSY=# zMeXyQ{!68=Z$p(1N~cTW>Tmn5Xs`U79|(Q#r=s+V-EGtT3JOV(nAW0)vfBj{L~#q)%gqhr0>a}yMMZ* zST^0d^z7#xfL-0GyMvy+sua6yx~Z4g+x-#^xRLyyDtLjdZ+U+A(dlnXcSUB+#Z0nG62D*TBrjD4@jk>gRrb94E>O#wz4#lMFD{b7) z)CrS1QHN4zD#N7i(NV&gI%CoaLOtS4T`(yf?cL7Q6_Y$xCSIBJ6Ked)+4LuR9Z{Yh zRBh!-$S6-ce=*aX4_2jlA6+gHpKcQFpgu-^$+)-QlTLHKI%k1&w_$kEABZa{Xkl0wLqsyttRwh^nd+z`F{P= zhm!oL{^?GI-LKDIhh%o=AJi+e`y~bM%708SFF(m^>}C0b1`s;0Hs6m|>Nsiuq3iS4 z@={naIsc%nYw|D3+LZrT){^@C&x%ueW&WUnywb}3c%@xO4J4T6yiR7CjtH-JGd~F&i^nvedrz~xBfP0JM9qW4;hp0w8zNb z|2C*6S3ZsTlgFgHriF9s^OujIqgLOazi&*sys+=N`Iq$i{FMCXdi`cfzRlQldHSxI zt%B5~{IO&4z4z<$P}rzRUT%{^7j*sd}9{ zI)9~JCymKJ$ZJvEh3TLn|K|DWu0^GPP6ti-Z_ZC2*G^?tC$w@sGBSV61?k=GR&)M} zPok+nXRK}clP^qnYF9;-v}qOKKZMtj`RNy?d$h}tNEn$5gBy4)%|DNKL1q2}LJP|B zMK$S%2hVyu{y2f+9z`P6q}9M4E`1!j&I=!k-uc*XgJwjDwhGQdugrg0L!ztmMdQ+g z2G7GAV-)*Oz*2OX(<{&m(Au4p`dV~t+mh(rtU|`z-_Yyxw~QmTjrqsMrF)FqQEKO* zRB$juv-rUHVGMa6f&SRb?O60iPY*`-!G8;>%KudKCc-tgmHRp9=KL`ir8}Lu%QMf# z-1d-6_$h9MK~eAky5#nx9hFS#Pf0R=LI<9H4*hffF_LYkRgggbrGibEoAV!FW}w(LqQ0P4w`g+34db(i_pQ z=Cc#hopPJ|mWMC6Xoc)Y_Td7#wL^D*GSkwi8Fc3JOmsz|@DtEGe#?e0yZEP|r=7Vw z`pAtM?Ob&8Yu2c(f*Q0vG@|&|6j54x)UZ8WeC89K zBQME>Wv=s@(2ePAOFMpn9y>h~c5&f9q5C&xqv!sVUMp6(;AqSvoiqeGj+%_eDRvKIxIZ=nc1IqPrssACBI*Bx$)Z zt+~=!b#!^S(3QFthfQbgZfUA%=xKDt|G4lR+P+~<3EqpI#}Mi6!XH9cwuyi4N8$gA z?r=ml?5|cruSKsuGZQ}J{5Q4kieXD8qzfa5%{a{UCiZr8N&c9L=^nYJiJ6uzK7(`o z@{T3pYf-dRFb>_Za`zzZ5o)N*&^vc$qI*rs_iFTp9~ly^w`Zf5b&S8XT;cQ3Gj7gC zmm1P5(Y3v@ArmPoR#S1%JLvyHCc2!L!#{B7A<_t`X1_Qo&SUA`fU7+jN(6o4sXvy z5AR8@N3ZTt9^UEvKi(tAKQ}4eZrqGid|jlW_YF>VJ)}|eBf9wgY#6#oZMey{Vdigx zbit>(?Sl^2X2Lobejs|s{+X8fl|?VhXD>~6>a4eG@QP-{DVQ_2W?Ra3IeK<}0&clY zq;|MVZ9e82R>4ZNa$797cYYg0gGODs3_YIGSy!w>H;+n&=mvCC>ugJUU!!YJ%SIos zRFkyg{zBiCnU=2IYfr{}+eb6e6F73{g2S#cnXt+gXb{?Oo?+bpOLj!n2|Rr-Gg6HMeD=XWf)xYp%|lzsN=p?Mfen-t<42=#H{N_*xJx9NhSIlxB!i!EJ^F3x45kM>Dl zzgLhydNOOWeJi&r`yfs$>CVBfyO*HL((#YVDbgx**nvBYQFtnN3%$K4{t9}9e~hkW zxaf|C^cHmYud`u|(*ZYP8og7%zIz8J2CGZU!xLJ?5z27b#yM;}&8Gy8LN8^>v`~NxFW#^#vD?Pg4QTQLw8=uTZKW8lcC-kfl z$*_J4t?&5M?R$lPimurj9|tvxw@7>Gf44XDtoEI3_@Il>hNJYB)yb$i0Ii?;T*R8K z1iPS9-Q%Y&rH@AU-IR@PY)KD6+c(}yk4A6*G!u?;>0g50wIms}SL=(K%h)|NtW`q2 zsnESD8~)Mt{c)6%6al+N8(3@y^)>Mu@I56>lJVF2}dtPFJI!@OJTu=<4a&aJCD- z9^J$W%It{Z&q6=x`OibwvnlAU38lZRgr2Zp#gXAP92z;u+6daH1TT!APuGzD3O)X< zO!S_I{CA>@nO8Q1)3oSHZXWcm{1hfvNDf za~Slqwd-7h@1ZMbayxc>jb49nre#v@L~rX6-{npYXXBnj)*HzHxf;ECSSI?E zhWvlOFC%ax<+36F7!G|nzr}lQDtG~1#*T5MOK>f^e4%B>N{+6q_#vT54wq;d^l6#Vqs!h9k2fnul}H8#z|<66rqxsdqA= zrnllhjUMG4Utiv@D+A?B?srBGsbD=0W4Yuka}hp8Ps~r4PDAY&mkGx@^PX%*-{4P5uLt&FPNxa7E)1a{*>O*?qE0<_WaEKXQcAZ=lBq+3-oX z82kmj?X37Y>S(y3>-Q)LcSZiG;A`~CgX0G|)JnggtG(W9U&=`&byGH6yluWogy8oof`Mjc)mAC^; zDp-TA@y@%O(8V_<2ZJr>&D;!H<sHc&6p<(^Y7FW7qvI z{>%fJAVak!^1#E#5ALp)!BYwe-Xmui({~ODRW%OXq6oL$lEr2&?7pLa*jTV++tC z^n&-Y(L*r`|6geqFm@RlwkY^3dNCtzh|^yyK4(k|-*pgcb$$MO$}ui;RQ&s4ir0;b z_v{@%OrZ`s7QJ{I4esizhN&ydhU;Cr)#!TfPT4i+wp?IXJ`2!0^V6?OcN#pDE4_X$ z+B(dA*rsd(eTp7AfKvd+02SlEXnk$hsK`GR{ED8E|A1t2?%N!3O9egI#hSTE@*Bfm z9ZcCPfyU z_(|37mg}uX9PATQ%3z~3NByxbgD=o)xWLr;P6gbC9-4ptdhR2S=blAuE(rQCA9gk; z2j&6jX0)yLr=aa~l&beR=$>=3Et_l&T0b~B*kyY)`kMU7_1p(ozBSvjI4{B6MCq(^ zUO>;u--27NsaLYbe};J}wZ7P;wiUgFMUg!*s>Ju`#j}!&%+@@aHT#6*jB+r#ltZXx zb3A&Yci-T2`Jb6w4AvaVs<5~|6a9RnTCo;~%Ja*^dtEnNhi*GDxsh-S`f(P2=44c$ zJi4hW*|e6SH;&@FgWaTk6`kR%QSK`AzQVJ~h2Xb`9!&^uX!X-5XMNv^!!!~&$3-aW z#5j6AIX<+P&VO(N4cE-sllF*4?NH2hyrHRbshh^gtyQ+=PUR)&N|LE@{@0=_CdJ39`3eF0;lc0^0MjU3(PHxVBg5J?+K&>&qN`X$t$B_`H#2*GrGqpZ2cYezJe2-v=q-#h8z-YX z)4nz9GT~xZu<$Z{(QxqzliX?T2l22kk!e!b3{JKe_@xj2@pqXNKzK zb<2B7=AdkNc0pYK&(JF$&a`YoJJ6*k#n1C7+csS|?6AAr1nq{l-^x+8gV8(KW!a6R zaae^OyEGI2$rbbBE|~2Ht5xyIa>y6ml5u$cj(IeEjO{p4CiXTtIPf%paZL}E&eB=mvIPFx2jvt zK-a&OY!+kDv+0&2T>NS1iJVGUOC$g5(X;YJGdcRN_TChF8gq627|fk#4J>hmN(GxS z54|%RZgHvYLf7Y~EB0LK#szj%x8pKgxaJ?jJg(y%$&NA_^R?df@uhNOEu8C8%c0-& zhW6d)(hIm9&OhDZ&7&)ZCr!Le;rZ;%jEGWhB$h?Vq=Idj7jmO8j5N1Svq2DgS3mop z=ejo*)ntdG=P~0RasETl+n-A&{@LiUyl>Utg-=m9Z%5EU(Ttmw)xnJO#H51QFb{{2 zB~@*#u3UniaeX%WiF4@{=;eE5!XeK84fNvslLCK$9(hrc!B^;|T!3*riqiWIJ%<96 zIh`ucQKFtfh>jYn1C#J`@2#Sa=!U~GEw^6E(ap8l=o7svP(O5kE|kZ(1c#x^IEY;A z^o8gST}r~wBF)rAS6!Oyg}3G~*pr>w1LmUV-IHvd51_YsJ^KWDmp6%DK>I!YI=bU& zNrl#-1CFvcxB_fceBRcw7S4T(p>BINoa~yk;IJUL&Kqj`p{MZ9s$H3vqx+mu9xib; z?1>)QH%V}W!iQ$vDo_+mKriW?+$5Whwr{;=RmlZ6V_35_x#ckzJ@=&Y@D*2}26O`% z*uK6JJ$7BPyskx8f0qe&7sh?O0bRnN8|Di5Z^b_(X_4R1RgAf~LOJdgRIm<)neZ_e zp*y9W|rAef6@IXfHufPu!$ zVsyi)ndsxK?QUsnhMu@E>7u^qS)az~b7QPkP>EqU7i6nj#Y;IAykT*k%iw7A&LfgOKM6f$L~^tkjeaSbRm^`i zaV>_|y=gWL-Oz@o|Dyt=f*DHS#B4a)73e|qMy@12a~Up1>lb)z9e56{hsKUqIMe!N z^o|M1yTMK9X$<1%gLZ0xe`3&2@BGar_&vIWg~(37DQ-H?V;b2kI0U_urFuUGjp84U zp2eog3LfeH=t^3&v(v-SdNeuG6zDv(J{z4I<{TztsN-#o!A{RaPay+dq6G862Jarl zVsxLb<>59L{*oMn?JZl*d+1FkCQbg&|4;bO=%v4Ab1m=F?c1FQWT07~L3s#z8vAi; zmq8D-zHsNn0u?9~oP^%KI}@f|_;7SMClg-a^abc`+y$^>*i`f~R>Jt$oC>Z(4X=TR03MEwx7y3LbyIZ16|G4 zw%S|?UWB$U+mOCm@&7N|@($0f=#{jnjgk52Tr)?Y?IfTke*{DSw=>}g*CI>Nn}}dz z&v_%mg>OLj>6i^?I{hVjcE99Z^qt zApeoxo3GO@QhKSNutyNoxTRJt+y*`Ei{#qspd5xiULPHao4L}zb29wZjSvP2as7tRw>+4h-Bmbh{7IZ@|z7xT@@gukIzvv67Z z`_Rzap(;XCzmC|(Dlsw(a!%Ibd`5?ITgKf zVscCWcJ#D;l3A8VPvLFGcnq-rFUGLSTiKpNZ{s@WGMB*`w7$XCmfpW9d_wYG#+T@N zuZw;}FGJfpvS%;W1@8dU1>M2k3ex`H3q$ueGc9jR>wUJ3-tKi8x^z-~!!x)UZbPhUTUE%*|VOoF=-J?i3jnbzvtUoGgqL$^~kzA-CX*k!%wr} zZLUDe(2blY^tMzK;S~(}rBtrUBHf4{$vo~7=~VCmx_CfRz;Ds|3@gFW{{Az19;13m zJu^HmOKpcl;iZIE_19Z<%j z?VE}fJ_Ws!JE*qO%|cgrH%}I#$9l*3rRXKECSAH5z3S#{NdLz*{s)FxH)L9FvHpba z!q;8=KIpkz9>)t&tKcNGzJzn%$UhaFjjnu(1B&Z{ zOVAyj%H&$E<*vlAi9X>il_-Pj&`V~--(#Z;Z|Ov@qk3>-ErFAqO<8CHYCms~=6 zE`Dx0hV8SH8s3H07p++d8_;8GGT{Q($IH>(Ym z^?rOTFADBPFTO0}-X!O-b@b4=$ryPSJ?Bt+H-`QHZAJJx8@6^${1LizMz-b3=4a_! zGvULoK<#-uNuOg@fpl$mFnSY%u-t_oqj28LoA2~c^v?N71ujI}ccOCrp9-d9Sn#jp zVbxpF9a)Nh=MuOVz2>w`^w~v?k!R3TxUsR`= zJN+#>!(B7pZU|Wai-MvPSXlUkK02z=-smkjoFh$m7xa#C$-^tX(BnCz+B6)5K99BE zy6_Bi@ceIs>UhyoI}W|ACZ09zxgA-Do)e#l;{AU^+tCXv`MR2DkfnlM=%u5x;YTih2kuC2=ft#+?f+#M zYI!dCbeCX%>H9L_^G=UIPvOm^Pn|v&J!e#=<&mvR(VO>3PDnG+bJ?CNod133;U^?- z%|D4Ao8gWL>rd3gf5y;p&+>3~RAQcpM2}1-kDE86D+iUg97_L#o^^Qg6k-8QRdGnN zR~(?GyFYGn-2v`~_SgPX(6y{1xdUARMqyZbLh|T&EqYm>xr2q6*ZZb32*GT&72cn=$AM694V=0(7cJGKii^ zf#|v8l7;9f^hWOpH3A*33_{{Z=Ydh^S>EPz8G0L^56EyOqRnSI`g*U6=AgIm21a~S zi~aur4EBv)s=*?3O&=~C3gaex8ePYrx;`o~&nlu9@Se{hkuKsvHRd_*qQzar)3a#% z)>k$059l3_Cs#%RXRq1UX2bgwU)TTpVhFgS5ob^obVM)tG`S0wLDvllLcF3HAB$f8 zIG@uhhzocUdd7K~@Jqs#VHLW;drGYqz2KH?%kB63(Ltv;y_^=7hcPt1oMiAgdIpbD z+~X4bGkVk0*_P}5chQyH8|DUQl;KC{4ZH{Xs?(d%)3~K$+ws@vr98fC({MMsert4q ziTD2lxZ>#eaPs`4o;1%8Kac#LO3oSvrep~>EH zC%T09LsP`pn3#v|e=3`m?f;KrsAiB^iPxaF4@)jazfw52%inVa*okgDDQU6dlPLh# z0bN~q7j%cWlQ&?yqZjv0{0E?i7iYuyIalJ-FqHO;ztu%6*JwrHSk37vn?y# zhiLt{leNfK=#htUZ`c)RC%S|?BIh~XZV12~58F%1&>i|EH9i8}{qE$Mxe&d$Enl>I zu1nxt3>`=C46>`iIP^qnWas+H=$?C(hZj%m!Q1a2 zLsz|(JkeJ&dPEnVAgPur> zgswnEY!Y?e!c&SK{#Me3J4r=gmEY!+$fP=Uje z)5}}#*B^&2W3ArXg`bS>z;lqc^qz;VdNcV5$awT4-pW^pUVMAXub_*!*)+_x z3f5yNV^H*Q8Gecm#%05coc;;zznk6WG|q6tlgF@2(TyCd)#qw~uILTT*_Pd~Cwe6p zrz{Uq_(|xHyW`P$LHqw`4Aqp7F&IsYOVJg)hqKt}tI<_2XTz&pjc-M-&m?_(FM3X8 zq8~=r9a|n=N`ld#MlWDH9_tFQ3f;h^81GfZ*Z=Dq@-;UxJ|{ z)1s0Hx{Gxkx`uQ9{w{&r(A!T*YWN_!`MlkOc1QlLf+grCp2%(M^h)&Rb-xYLtk6QU z9-Z%#Ju2a8sq`_G||T>;mkOYcsu3qD1!;oa{F7rqU>eR$G>zo6Ul&|U5`=g^K@A4|9m z$C!zlEQ=odhitgOg&&8W$c;npS4Lx^61{^{a3`n7plfbRKFNFyT5F^JR|RLI?F-vA zC>NmTRB``5u5nTD1cv4^zU3ln!c?#ly_!27@gOP+-bI(Nv=ST@@MCnvxyffwwxTy4 zpVaVYbfx$HK>7??$a}Z^AoSQJIa>%?1zj-&Y!>mL(IZDDHQs@q&6SX*sYd-T=<(biu=MsC$vVJ7)S7Um ze=s`tytf-2g<->K$@2gM(93%z84N+MDo+Y8embW|)@X$!c|0+HiVkKOH!@?VrPh7r;Uc$m-CH^bA?Zf3Qo6BeD9bC^}6=jeL zzEyng1>63fK9gx(m0Ud^fS$$0Yndz1;b$`c)(lT-d@K(38=WfgS?JB#_=hy4YtTEn zmQ#V%#8c7Bz4rs^(c5@eY`V+f7WD90$rk8a|^#HQu1=pEierfoPb&*O&0fH=XRBf7&s zvf=5`I>Kie(Z#e#g9{&o-q3P6&i+3XL-WAo^7{gGpDVL1pAM=;XI|q|P%eR+(Z%bM zJEM1_8&6{1yYMH_t6OKnS6u*8;sW$EZdAsb8xJg^13m|>E2rrG ze?12M#4Y8B=INd2iT#pOY#!azGaLP`iDtnw=xOX`?VbNx^x}S8s<|3}hSpcCaWsqy zycHdcO&;6Xjb6@MGJBAJl;OVTuyT3t?H-Em;J!*oqy7kVUq<;DmtkLY%DX>s3i=lB z9?&TC#6ntxQL6$^MsMhs2`_ZhiQ9c4ghBpLyx&+Qg zZ|hy&^3kQ~iZCL%F?kDm7lU+{^Ph{J!80Fr$XtT%;XSna7CQ5L4yEou@)3Ibb=?1d z)b-gG44ZmqTE@VS=vCg{(3%VV)$ES8aCAa9QQ(EH06oxa=%OA@>&Afnn6z4K7&^nJ zNazt-C>4xFFL|2%-)cMo!;Dk-h_)-x)#x>>)hk?rv(W)xi}1M951@y0@w&g$OVIj; zJY+QJo=2Cmuqi`z!76kgZ_s{-F1{>BAMj5L%|9_L+?8#4o9t(FQ>w&WUZ;ZMYPMSv z=!(B;bTE3=_~c%2H}vW^vf(^e0e%05e&^@|=YKkS^IORns6nsHaeMq&IdJ1~5{8jM zTm$XzH=;|uSuh7pQN!qe!tY1->=yrajS93Fy@q$qqV&+uqStd_Vr&0P=pCH-2Dk#g zkIuc~_3@V&s!rx7p=dKD_#?VHm%J+$u#s=yBYCg)0CasOd8@V?I>nn%rIb+dk3)A4 zl21GhLhBcTj&tE>k7E3<pbtg+t}@QG%)9 z9`r08sjxd7kD;enBSBn7(773fIxGCuSB|1@0C7 zcu+j(_wUYTwzqF)cIV&e%>0l1J9}91Dfr+C=WJVff&^USHNIo2J_m<9lePd)#zX1> z{JtA-H~&8QW7SRlo26i$t9btd$0cmvPriCw?Vw;eZls}sT@{u-j-SJ*jZS8mu1XWBiT-vMLr_?QmK#No-$ z&K!|_!-N-e;Z7KC6pr1DbR3%^Y~~Lln5* zJCzYQ2{!{e!|@#4hTS*ChGC02A?~`g&%;qm=Y$lc{U4o>cn|Jd=WH})u?RE+H&Nde zSN}hz;B8dv_X>F@ysA&kO)#ZTdB&l5d|4KgHNsQL!nJE|?353o6m z@={Ou9jcGuyrBRWJ=f@{1-6R&1rk`&P761%Z6CLHqyzzwh_)`whD@JG(n?-tO$|?B?Cw zKd9E6V%mLRZtqCzE(Drk;PN0^JS1YtG87KB3x7ZGkE)FC)8 zZ;p*X=!KAgkczMjj0=E{SZ1M z^mw@r+L=$j@bQeVgqp^ZM^s&-i3V!E4<7KjqTf zZM+d9r@AT_ZXMWp0P>XnX!V_gJ#|bm-9%VI1&=Z$Tjb<+9v}R72V&^>_T*k?E zVX|ay|CVyFy_Dm$z#$VPNZZ;k4GXhhS$;3KKGS7q6RDQ*U!OB*N_%N4CbjZO;dr-v z90sKk8dH&L#V9G&*V`kl)p$~>UBqwHRF@j~(GWjE`pCDp#@X&fxKVQ7$zQEwFipqiQ(sF-q)2imwpn??Z5!k>gqzFfRhfmp4EfhAx zH;%?Oj8bWHU@gl({jAyG4r`<;fB)?KW?25Z96S4*Wran}u*^Xd4!}~DLGF)P$`5f` z!l62*94$@}4jLCUC-Me>90v4QOK=-;8pz$Dhusq3CHsDU-fR7~c$&TUvdI(xtI1%91J_3h>WPZiZ3+DI3nR z!hmKN>YxdSAo(@-5SH>2oCdv?T$2|dETi3c7aSDmE=}!VY}=6$O~PSwo94(s&A=G5 zw)sM}3PPpg4!*4dS)tHIy3ipg%I6KEy$Kn`UO8sh=A&0tpk{RT_|>Po-Z#83RF2bv zlF>jZg=r$PNproiiRk$&$@k1InU7@RxjG*U2+d8#<|cUB#5#D@1hp)qe>;>H>YMHn z{C6RRgL+1E&g4lbUzL2)de{8Y=uxI&^NqvVcFJ1zw28HP{(jT-jivQQoO!i#YaZt4 zm1|AH?Iz>xCb-h%%sRNy1XWVCCM>*~wTM&Cr?8b?snvS5%5p&-q&c-mfvZ?9zYV92 z@Q2?dlMv#2#zApq6w@XSDqdG#y9iE5bA>Km2b+Y0O%@q9Q0p5fie8pJoOzpcSZE{P z)s(zTsuCK+xlO#x2{=<6=NlK&`Nhf1D(l_km*&^cAjT@m#x*UEYf2%U&|5kd7zJIW7lC13hNj}7>ad{Xpr)cIH5aEI6O|k)bQwG@e}b8pe$?UYkgn=NU0ycwo+b;I`B-ub8r15DQ;oL3TrH&r#p}*DqR1$zqTWsf znMau_hhu+8dgWo@@e!96Q#v(9bjKCv2T{T>YI>qWA|A|wI;BOHE76}~+ z1x?7eij+$^eSu4kl-|~NcWu*{`mQFWh}-Ed?b1*1eep`8H--&I!#jm|=eOf>LI4TD zWPEb16cO4E9!Pydb#Psp8LIcX`-9d21;=evxl~Sb<|35$l#KMlTR$2>$imS z6uHjpIjy=P!O7_NDkO@>|JbZXsB=Qs!Pp*2F2**D)E7PKmYmV&71qcb;AHfc6b8M# zwjr}tG8#I`xmU?Iq)CQea7kKknBsD|;p#EevH3Si)lq{Jz}Rt|+r9=~wuo@cZ4Cz5 z`n}Ru9W5iiLy{?l6E-*aeU9wBQft)+OB}Of61ubApg;S6hG>sA zKZ56`4%#9Dag1b)ZZH5k7Jt?w5|(`pjm=e-4IW31hj8aj4bPO^h__v|=b@z`)B0&W zjJSMub5ss*sOhLFP0+wuRwqjs6ZY5`(vTs}%@{Q|BlR7FTgLo%;6zK=SS%C%@3PXC zvT<1E+mMkO@=iY< z!DK0?TU*deYq|{#j(VvlrL9om&QK*7_`AlFuZL2RKPR5V{!WH*VzlHE-ID~ol=?=m zhg#`wbdu{$EQ%f;EOO%{OZUFS?WMG|dk9>Xc6EObA|*qQ4lW77!J^lcU@=1)-s2DK z+1PUxBugiIhQl1GuBV<5T;a)DHtg#{Y(!A~BkhU{Q)<}$a(A%UYoAW6 zEx8vr1eC)%s>ISsIduOwkWh4O1ZfOCm*;6Q+p(x^cOD3|gFeW=*ll2>*YxF8M8J{?eWThuZ?Gv{4(Mqs3}mZedV z$4}ZhT&-7^m~zYj{iV6Ndhg!1GXgbzgB|{;8J$sUeWo>9+L_x9qNJa5YvH+cXi<0X zySE(eWh_?vR5cl7*fM9mF1apl57ko8;vy)KjxUadjgr%n=lHgomKb5I)G5!~cjT?4 zIgwNQd^a`zV&sx z3r@Wp9dOY-?6JKNmdI41S3X835OyO!i$A1eWMUgaDZ)*Jmk9i47`;I7L(m{hLzs(@ zgHRw>Ijm13wzZAr4|C$jpEYMY0vRiifdw!8TvX!67_bBgj|Dfj9O1;>7DXbbzfloO@+1vQ$429U$nu- z-F0Fd5|Y$9F$q&YOj9NG$~JD}+M}!;RN@x2ni=h-t}6pzoHTr82Q>?#V1%G1X*07| zggYnYt?ZM1sI^LzbG5OnQH9(&8CQ#;m2qfsShR#iMObts9XrTCScu>VzF`c5gnTunoZv%XVX$)0+$ASjbO~A;JWNB!poI3sKi| zQJ_eCj!_+?8LQf7-(|57l-wG?ag*AjW)LsHv>4+iyOExRc@|5l@>hv0l9Sv!g4GD62vu0=IBH~Ah)%qS`Ejl~@hqnE zOLo#GKzp`hO&f?WIk9FN5Jk6=cfRUJka&3A+V&N-t&Gc>47}o5D<_OBYLCK{MTap| zqi7|~`FaNAmHhej2=G-*bvG3)v7FJhk5UPmylL**qQ)3dX>$~_q#;Gih{C(1x~P(1 z7h5-aU>CngKW*wo6u*`(b9n<#L{m|;Y}v%Hak%?Q>BAx*yQI5Yb#PTu{tyNpQuGi0*{;B8i{^w# z4#HWBi6q!V2-dn9c;lB1BR2KUC!AlNbyY)O7Utzr zisoCFH{j;TTF`)bV_}1VpKh+03SqsbnK7c}j{Clb;Oib-cWX_Ac|h`XGi+k54$vlY zHF`6wXNWctYIJkq>y|vJ!SL8uEqPi0S*gUbH8tF+VwSkCt;`O-)}H3Xs{?b z10Qdd{I_YcGaGssaMwE^IZ0<8ZH7EY?ZMnAvu|~hrZeQW;DD_??q@hxt1BqgmL5OG z36mO(lNwMu1FuqRD#k$A)tZ{RXVr4^ga+S^_iviqI8Dux$jG^oI&*g`yxk%c7j2Ei zM$=m`2(NyTz3yzOq4f9;^m0>B0erb)1S)_%e)5opF`cdx&SZ6}(V1bw>lO@dFpg!D z2Q<_UQRDNE(V-Lrl2J!6qJ@Vr^$cyv%b0qSTJmzHp2U{CqJOf`y+IR~oO9iaV|Dwr z(4AOahu5u5Y{lxDTj6C-AJ^KaKZ-(d=x+^-`&_!CdU6VZrcV-EF zx_S7G3+(36JHI1t1GBn$bTJjp>EV?1R{p9GEv$B@|C5#XIe^T!xvh;DiQ*X{BC)%RFG=VBpQA)SM zxyJkTIB)a1gXUol?~c1z;at7(c0FoFn|-u#6iHO#Br>?=bpzZvT&o{s@6kznw6The znAIfI)cbve`$6N?dbVKJ4suIc}wADL+ugM?qM} z{4sWJ+?;NOGtOVA57)&g;R+M8QtO20PrSSD^=B3?Mu`LDS4r5>rt4r<*gyH|2I1TK zhLkFWuMRph63!oEX}y7G8)V%6C-1GVX;P2r6ir49Z8YweX74cic8nj@W86{+OBup@jhEvnL-b>Y z!bj|X#R|KSUGIobDKhN_K8D9V%IbGc@s#s{_@vivzBJCO zw?uF_suiAKaEo8mtmi8i33KWf{@tDQ)o~}u(~SCjflH}$HO{V2R2bY+tnxe+V1>Nw zX1)9Q8TA@d!6>sPsz?)96lRD~U;zD^B<>7F&tS&RN3mbMM6sNL3HS8Jm&PAo>fOUX z=o|6uW(a&Lzw9H{Z}O9P+i7XO3`yV|!SCp>s*s%Y+yeU!@4XdCZgsnbil z&nCIA4h4Y<)~%vEf`B)h8tihYeH+)`)Fqvcf9n~LOvrrB0k#b#vd5Ih~Z6S*)!vJ z4E?Z$KP(>uA=WTcnMzxf8y09>|I$+A1P7$$yNBS*ytdoN|Bn}rhC?x~W{n8xF!>E@ zZL9{ql)U!Tz*^~_J-QC7UyKH=5{xk~ErLEp2+tBiL)Ej~4DRtqFxsCdMehwzeZ|@` z3E>tYR9hAatEDM>4Fi`k;(5(WOPLDQ;U2$_v4=FrC@p1?h}sw$+zekbHr}J+ENIJA zd0k2TNCK-F&FH~&wwp7mrRu%_y};08qMJdPw~aF>D}0;rMc2N_kL2PvgXWpW`1l3R z;o2dZimf=>u04FAm6q7_@Uyht76>-!ye$YfA}?&6vyZ+o@Oj^G=p5vYCOH-`9$^*5 z{zk>uoaSl{Hfa3j1%{Diq2|zJt+_3Rt<3Gf$YiKk1yi&n&PcAG%tX5qZ${i2J zSvyqfaUgVDKgKNdMrM--H(nWO*E~z%jJ;4%P97YXSt*`iYm$~5qmh>AmXstv-WrF1 z@{yA70Nq(RFT0GZkiW>quD@^wA|Gp}9KK}^ePN7{jvNRid7O0fz_N~(=heihU`;41 zX5fUaxY9JmzpDWhJHg1kNQ2ZwNGa1GeHs=jq^}Q#x<7l4+>9DO8EJXaZwIy6+n74a zZasf(@xNy%8hf{U%-Da+Fo&`K^LezEcSmzy{XEZ;nfrefen(-QqwxJbtq8z^FK%r75I&MfJ2h=VVm2R zjH0!wkYt8WOU@j=DuW#*TgzO*>2>_>z|oMff9jCS8Qz3sS#-|}H$vNRL-aaw0>3d9 z<2I@FF}>Rv2YWAl0+R4-$b3xexvFlI)c3x9SpwW(XTB%Z7$|)ASa94)Y=&!o5__@} zhMBP0b4pI+H(;a2dbcPiTVx?83q}=KV4-Hb6kOh0sYfzKmO@#u;gFPB?yK&Mlx;@5n(!lDxxl=iw644( zyeCzbcURA3y$LmTJZ9$Qyf;jjd@6c-h{%<(1$TLv4njy0q$w3UAVl&xu2cE5D!4%M z8yz+Lq=Cl=gR`{ectn?1f3w#9wj002XMvXvtQOmzSCh;Jobt!R=J-<)a9_$g)d!YKdrxh^2-N7)^OS|pw5f|n;pQ>()9C4fcDbxGaZ!0Oi4R}#Ug~= zQtp`@pplGcgF6jm)s6~YMDX+|OJ#2cx2tt|xCci@E+4nG7)>~b`IhS_QvTUv>UB@q zJJ$9??wlh#^XoFDF~1lCe4k=Vp_$AL;-Rer$>on}*T1bXECMg-`(HkV=#nny#sYu6 zHd=OuIJ8BjwdYF}7g4yAlJ&wtmy?HZx4)Ie6oY;p=sE)#9c&tD!LOIvEvaQnwZ`Cq zF)VtWS7JU=ntHJ>D)YySZTNPKo&xBRp}%q-$(+>oQmFg$CpdDWWiH@oZmX1ZDH3i= zxtDx{Z#=;Y(V4uraP^4=@MeSbQ5mUS6vJbX{dei;rFg#l$-i^Ox$0zcZGOA)hAr)77={Qz^+Dx-NO%roYnyZqd)pxB^ zJpGMsD6d1V7T`87U@#vlu+BtL(|2 zJ&b4tlv!OxQS&JE6mNgyhYw#XvvW!4jSwK`4oN9D6Fe5NMw-YW?I5kmpy4O6mvXZb z&s9FS)f0|M`)_IBp!EB#v*hY`($?Gk;2r7N?O^{=XcW=7@^Vd|#RiOw=b&QH25Yrt z%G%CSmpf7J=@0q~(K5^Ai?3(dygU2J%Z`%iZb!(LtarWO1L@tn?>c3n)(@pg&+a}} z48v@ybnD&=NSCVbhxlaNN6FBK;xQJ3?wIkJ9i^KowSV9T6QynslGQ^PgHLbg7+!m} z6P68<);thZJsEY|pKplWq=pvHX{Ys6R*WGCmHTvAnj@%Yi&; zLE1Wy2Q3J);l#b$f~2#n8aZ%_VVGSXee^0Et$c{9PYoj(FXI-Fk2@(qnypuB=tW#L z+wmQvFju$IMM~%b$LKyK#nh87j5{q==&J(q~qLR z8EM=~@4JBx?ofAkSV`)((#`I003xYX1p+L!EmpxN#3d5DS&PG`7xngpp^!wUd%{NW zqe?HZ0HsM@kOdq)<^_q6YEyf|C4hPKjt`6kD~)Xfzd{7{Yzy7po&G`z(u$fxf{W1? zC3I|Ch=k{KSz8#de(@)hE>3TVj`&pjX%zfT8`^?KegFR=-=^X1;Cm>wU1|qQ2&|xE z{6GVL+1~d9Z!|6?w9p^lL{ECuA9}-13IPxc>!~>aeo<`NjVm$r?f_E)Q>s~K&Ex=$G zFI>sk875Ev5nwUo*cNEPjexuDV;!m%j@z~ep(-HGrU(H%z*=qVr-xJEvTdbKOf3l( zm(X@$@PmIPLszjg>KeSXaltCPZk4;JA7Q{O6`s*+VNe3S=*n=|4r^$i2$ZedhVE5s za4A@$6Ys9pi7Hxcfc_xR;EteiYx_G29_r?k6ds04tlDN0)P0^)+q`f-YInt9u0-r zKI{zdfwJT>_7kn18G)9tm@2yBP>^i%yTUinJDe5i&4YU5M9{N5u2Rv-$QQ&A1MkRd z9Zbp5=w(0$mY*5n$otXZ(a=xj%1DC*KF(AbjH|p8-4+eoAjvkmJ9vU32_;FjW%huT zAa?oJG_-Fo7!1Sc%3dgY zlC4V&`~?ti^Nxkx7zCiF%`h8FyIWu_#M9p_@DY4S-|7Q<{OW(jW+I;VqKk@BFYhAx zJ-Ew-ehZ();1%y*X{R`t0Kd~O;=l*4**3>P7(fO+9}jWLJXQ}w1RF8P_O4Ca7qtmF zbX`A~kKOw8hi_%xmJ7(z-`ekxTWMUW-k+D9)`E?m8w8V}h;~VU*sj}{Rp;DP9M9n& zM3nBw^BANZ!L)d9bL>4YBn!A}!~8kMi<`Qt6?AI?7-25GngEC4XZmF#gyNVkPlRx{ zb?33mP^<8TUGD*3(xyaw@ms0yU|8rohZV&@xJ4W_*TCn*sgvR~mSj1<3G(Q!!Ehf; zblni}g+6ru5Quv z&kc{rnWxT~r^&*$5~ws3!of_B425;jo{k>|!6@pIVK~7u=$TRaA#=#5b{a_3MK4%39-XTG4(0+ePd*G>r3x@~q8Te+}(pe+WB9-F9?xW_B zppUq~xZiBfaMmDW&E8;Hh(U>l z%CX=Uvf}((xY#>(rEqufT@s7vnRksxJ`$p!U#A= ze@KN0w~ESb#aa(}H;-Vp0MT2ipyT&f=D)%w&!ON;Y~EI|0lcJV(jbsrJV2kN!P}&w zuWjN)xTOGZSc@CEM3b!qtgQK5pilBSv!h2*zgw6C0j35dXuAoJ>&*s4_ zfFtzPeAM(_n|1*f0Z$jaj|3lNW?BSl^ayNmi(xf@ zf>tkqC?`3Z;+GLNpFAiA_}X?NA12{3pDp4O*bg`kZZCx7T(8QHE ztrT?qO8C@!^AQw5pUlfmehQ0)@N$bqmPgI2;2)@_-6%2^(|0In;iYXgh0kPdy8MI{ zUTO2|?fmt=FYT3Db`>T$g7*3f_MzSX=PQ`gwt(@3Ci?7B;kCWAi2jM%^{Vc>VJXd7 z3+0f8Jm(vAb!=QGz z4`ah%I9Kzy;N0V)>wm)avrDe0dcqA_{WV%!B~ADSI=~2;{tZlq1N6c-Ai{Ulvj~Pe z-DI2kQaZZ`dZ_XA7j0aY-4x;M2z2Do{Y5x=E9k8vcvm%)m1@%sJ{}l;u7n|U=6aNB z0L@zu*6y}LSeQUkA`F&4?W4Rcy50jSAe_}mi!e;{xpwK7(C28RRx!4$2ty*7-zLUQ z{{p_J9X3F{VjmwQmQw$XFv9H@#)8|0yDao_hURX>rN4r1-Uz8-vku{#B@t$QVJnjx z8yDJAcySQfahI|1@5so=9$H^5(s7xO+ytXs3l`xy{pTDve*rDr1ebC3p7AX%H+yW) zzJ;FvM7r}koTZ(p^A_+6-N+i!gYlZZKc48eAg1rmIc&h!4%D3f&%&FT3AV&7V3e_` z{sRO-f7`b|zyt88!Oh~2czLQ84U2dkCr&BdupPA>L7jgD^do4uA7LQxb^tp$UdN2d zUHaLNIPR+HogX0}{>pyVyE^YT`4;2D-;ICTg|FH3-)3a^tdjTLB?f6r^>B?51j^hf z$1D2Ffh&*a#n{d7(1AN(15BcicA!~ZNXv?G%1@=z78Ys=e-&K$0~<+~sW z8N5rN54=Z5mq0E|qkoj3IGbpf-MC78LOu#7pppZt{&>uNUH`^e!b^YE? zaz}aw8hGUNn8F=UAC)azF;SDBzE>=XY2mix$hw2Z5 z;4ykHGKcrpR`$2JfhD0IItpVCLm-^DWgmumIjKEld+#WC5ZG<|tQ`82u%Z$iN&C3e zgDUYL3rp_jmankqe#NNsxq^8rT)i;6fU{C+KMrkC0c}s9S^b>mpMY`gqf3~i=%_`P zK^K;1-VzheL>o^)`}W~2G{ymXmUomQa}bR@3G;il`mb{N>+%>kWCn)h|8D0TzYlOp zo<>etv$O$cWR=}`4?K2A_MB%5xOg{=u{Hbx zxWB18WOF?aUl15Xzx)*)hiH1^SKJ6_Y0ryj)9>1XE-{x+MvH!fML3WHFT++yqh~Ke zhp@3bl2cw`gVC-@Q7SQtb=loj7_sC3=_`e{se;?e^d0z^=A-Fev5S6#5Mem-4^@^A2nbfTb#J9 zs^YDz+wYy0fBGY)A3GI%%pUO*r;LoU9`e)q8W$i(?8$>n!fU@5U4KS zjvd7iy_#KOJnD_!<;$yJX)})X&lkD)om9Vec3322n=QE-B*3-z)}J`F&(YAopc|?E zgr@z4D(Og-H4x>JG&D%`LQ5sm0W}crUcfpKp4p9mGlR2?F0O$X@7-8_Ga*R4nI0sH z`+~($2-E1L8VC%X&G-%O#;1%uogs?n9%Z_}eX?YVI**6f@zl@V6R$i^qQW)w5Z|J) zn5c#`M&lK`eh4qeEp!S^zXpH1doijWP}?kK?@4E0hmMNKAwgm~U4I?26&#AumImE` z&hQ%@a|3iyn*ZDUV7yQbaLIw7=&^=?z}v1LK=KQ-?<6N zy7w44I-#-zSC*z`lzbi|;1SG!g5q=O{hQF$V+HofPl_RE&4ea)o^gwsZov?=C5vvs zX7IlG16DjP=lXloNv)$RTC6aQxr5WJGkxa{%ydmhbC`h&yK>le{|6kbsSM4h>yt?Lw151r(hIprs4PD-40K-V$l`e`crLYlr6<){GyO4zQa#BADucS zWyE;6PfPDZ9AwxO55QN3D~s?Lp1I!n9_wf;+oGKQPhecPvn{w>Yi$ibvwX#k$5T84 zGw&VF3aPpJoqnfrP$NEn1uN3;e6x-mrNvJm*sUj{8sWeoAwrJR?B)|lR_Yin#?3(z zsHGMLM0~K3y7!a&rzOYLZHnNz`Z33O5V0w_q({)V{7SMxL5~6mo za44$Sfpyx|E70L*bfLGJpaN#oADZC<+&hKZVUVI2=b(lzu;YZ5)5CW7fmD^RoI&=o z83x3xO!*dD93;eG$Q~}yw}FWMA|n|q@E8^Q=*DaIC?a4H#;qPRbp27fhmeu3;!&FO84O*-JZ z6|N>z&=41@aWAq7oypY*Mf94QL_u46%7ge(qX!x5QjFv+2-{eZK=*nO4;)%p{yugT z?@9XLRQkk|1VBIA7EjiJ4{h`!!C}fGv*IBx1$q}ztBQ1-kA}K{OA(Uxxp4nk$#*Jj z3Em_Y(EnKGL$;w8)4dJ30oba4J0id}8rzPT;XKW4M>_gfe2q`8t@Pn_CcL$Av;}L+ zu+F&kuWUzp!4B%uo+Q9pI-)(9hSAm|?Md&TEXEnFPUQ8%N%KK1%w{}u7YZY_m#0*B++FS!4r+NRUi~M1@!`X8zY3%wZ!24X-h4i_``N>SpWvl$|gZR z{Xt8Hqcz6O_|y*P7zbMOMe=C1_UI^d;!+qT8U{rql;bApqd=14v%7_<(!}$)azw+Y zTIB0Op9GTLI0ifF$Xh_TRkqKANGZU0IwXXg!AJ7flOEtpN9oDu9xuLZ5w~hN`$?jK zgIN@RGc(h@iqTDikG9OmG5xbbNjV0TyM>WNe9d`bWG76d-NK0xM$+lwM6XI@6_y>r zqsdA$`ZxpV`f$<~XUBnXoE;_fk8sisE$lzxq-%6AW7Ydd;K86S&&M9U+yHntQD9Wm znjaqAf$7*G@MDA+cZ=Yqt(+LT9%shH2r|d;Y7LUBuFdR-(&549u}<)UQS=>*J?-Z1 zcFSPiG+3Fae4xd{kXoC}KxP2CkFz_HAmuW~$eb{C6_OTpBoP>BI@1v+Y%P7zk!*mI z^z%+6m=rCbdpnVvu!MdUNt!VJw#G* zWEnaKZk@>iVyCv#orwv5{B3LMLb?-JXX_SCFuE~`KJAV(Ya8|KK~lhjPU%6?u-8*P z$RN<$ynB*A2<)LxW3Z`0`eAP}#{2!1*xSs{msZ|2F8d|Mon2tTVC19T1Y<=JHgA!% z!d7kmW|R!K-g*n^;NOl_!Joqd4u-WWSaksv^(QJdiG`IcsEIEa8I=?fZARB@m4!@X zbH_p!!caP|4=L&y&KUS5(YgBMu~B2S5W?{OnbVTRQRbAs;}-8A~K>;14=7k!*3_$x8oi=tt@{m`nmU+nmA3;xX7! zHNvp%xczm zGr(5`$Y?qvI)*d6pm&mppolzu>F~P#G33s%t-tJQ%(^eh{1u)X}Ls5aL7(T@XsXP5^D0#PyALG^Ute+kF zmiBD$bwQn|jB&#?C4Cr~rhJGZjYFs_Mem(n8%Fy1r!jt9N}2BisjrdE8ywEgcp5*P z=u|^rQ`fXmljwrsRl16+$vG$iB@d(YG zNGAH1EW`HoPmMR(Bkz2TY`)-lXT4 z4%*QPlgRhp7taQXA7itd&IF0InD2ESzxYD^CzB(9lh@r)^L3W@FZ3F9`n$M855^I2YhEe0c@@dMe{EC7i)4hBBPeV1D+ zeo67+$X$b5!TYwVDdamjTDF{-WD6AALerVUvyW!ZBCqi5Zq{rZ%Xo-+;ccb|uw`VC zw#0S!>E_rdCGKuc+6w2AMKW!|`zrD7+bVHbCjMAvI{qeVCg!KAL{&Nhmi3xOyUr)4 zU8-*di9K%ziM}?M1!SZQ9W3iYav5;$bpMbYGD8F>mvY;S)~?g6QN&o-uj48$<%$>!MJSiK8ASCMUJA!!ZZP7h1u zg-aHa2o^cp4zEDF1$XJQl_bpdZIqN^(VwSn!K+9XQG_9qPS>p_t1)2Pbq( zB%@rWA*&8s_|dlgOM-`sqil+`C_I>KKdvKMP)u6d96Qi<>1%Qa6eF;eX|$|}_^O>z zZReO8F^!vrTLjyqBGOI+OSWq+P3T-otT$wzu_mHtC9K?vG@FQD8)#@Qe8+yaECkcKot^ z#Ib*n=82mI1+;T;EMg%G#Jp+v4${%+`aeV`hB=kmNBsJP_drHg4ICVA8g8abci=*} zogUsnc3|JLipd;UX1i95D~?MeKKDg@36ZwMT?7v`chILLWTeYJBp*OHWJ}&nb`T_k zjijPyl4c_xV&L(bjikdkI(Q%IaR$xYM-B$cQ5PJ?O|jcE8uhrd5*qDj262GoID_5hPCgGf1pb1dBSSU~wTr4Sx1#{tcx69VG5v zpb}@`t4uu@`NZ_Vcl?>cR7*Cq0-jwB)K8OrMBs3@-g(bkT5*-3p-0piiKd<__# zj!%s{LA)7+@e~-rP@ccA@vdp(9gL9_U&md}AX<4HJ+!^_)^&Ur>*#-wrG~Th}Mb-(#n$huBZ1^ml&>3^6mFwE(+eMHi; ze<$4;2ge&pPcbaN%uXjhBzRq%ZhnY*73hVBvKOcDgV> ze?i;P`8Y6oKSifNq;EYXKJGD$g_r3Cza{!Y+&(RSN>Y?v8F8O_-&fA9ge}u<_(a%UXG~5}_h*#_SX~^O%L>HLr=@-vPkhz%=;4b@b zF0e<#D6i3ryL-VMM(C^OrVI_z7or=s1nL}&e>xcR8KVvvbr|^i=Nai~{>xE%%2Arh zN;_j|XE@0Sc-A*%v6eN%m%}9o;}HkrRK^&GjB&Vo&HS5qbw2GV-R&qH$4cc`Du)tA zaH_MXUd2@c2YcjwOwXga`5muv(fxmuI2cQt|0c)rQcgu3>7dZyPuC;py*iv@wKl~+ zWCT%_PQ^Cxw>xgUtm3c?SE89O&_BbsaONd$`I9KrlM{-f8eB2xnR-;%ZmMV?i`_<{ zRa0HZRS4l~Bwf{j*5U%~@QTcG{EB@UUH*z}#-Mm~Bf-lFG`kU(Dhu7+Ncwxv--;3* z2^Lr2Ikg_Et1!Qos+&kio42N*Fa|gC6T6?pdz*%Fn0TSvJ*0^YY8TH6*_FYfb}VwV z^P=7F%MD{WJZLXzLPt1~K50Ui$UvQ%(fAIdq0MA`fGewmF_cn6srKku7(E$LULHeu zZ@gNrpqrY}c+086PO{BEvogJ!U5(D%P&n-j?~UR5>1W^sqdV2uwP%vhJ1t|F*5HY4 zg6e--J4`F=I0d}uQ#-)`bj`{z*@~2fj0N}h@39o?SPUMc60v&C@X|3_QCkYfCt*R0 zM94OWvE{eo3@$MHb4?C9)wCNe!P ziQ|dv3!EsAh^$@b`>Ye=j;82imv4Ah1j#Bd=)ttU&e7m4R`xn7)pFd=l?IE|HMECJ z76WJLe3`62s<=!h8{)R-8oCtt;`d_O>s=Zkm(9d`UQ6Y&D}a&gNlvm<{I~=??j)Ov zJDZNJWNmO7^lc>@LL$tb;YzXc_4bHNkV4UrtvuqhC z+G0QZ=m)N{w$O^Ma+L`fq1@*x``Bwg79_H8^(jI4+3unqz~WiMTFYwSsjUm%egpTx zY1oS%dd+b>WFpO1$^wE^>G-ii7rfU0F0QWg@J9XoU@>w5-VsEY`*yIn?ZaTPfSyvy zqVV9LNhuo>-i9?Jgf$v}V_vnF1C!Z}zcNha!2Fwx=NYD?i`-<3+#gt3zt-3N-lLxG zvb6a5*5tRh_xD26rpm=8zG3VMJ#|QWuRS5KxPPjb8J?;jo$N+Q{a39-qJ@ z7Tz^ZsDIsUIo;Yu)`*RN*H%^q-Dplb*$GX1#%8=>KNnHjL(3-mw6~D(?~wJPQ`^hl zhMV+qd)Z3YL#zzD%EaZ^SJnfE@J?SGuLtO9Us)lHqT~H!A!rox{AAgtRD3$;v5p_3 z;EnX6Ip(mpn2>lwa8iXHzazsO!Z-JL4#ndJW(@7>FVlLk4~69TN3ei=OFcZ*_m|;i zbh_1Fmf}{2#dwm|G91FFYXJ7Bp{4-YWbA)+fXsxe+|L2Bk9uDoj~xi#+s!j@4Po{b zFL}U4MtoxcywD!EwDYcAi{C=@kmI!tQ9uzf6jabb76fH)cvL{v zv&}RIqq+Q2`)Bf{Ia$tJ>v>uIxr!s4(;+)_WThJfZs!jBhA^&pYfhmn*LqFiKn}uL ziQ;f`{w_NNvAi<~o9)hA7`Kb#S|8jca(LicBgh`MXV+WLjjYvC4qiKwiBfwK0vd`}LP88Aj81${%yThDsd^?mm~`@-8GTBL78+K^*o{#9KXpJ90oyMdE> z+8^ty|H@l?j@zla?w5y1J!PYxQ_ud+*o--N+RZyvpTTqP7Coy!(%VgXR@ZTXXti_o z7~X+*!OqovdB*nO&YUX8Pg}Wj^>!^sj68Alv=$B3d$&1gWY?O8hWYW26aC-+V3%Rj zhBj31T(xr5w5EpYvv_G{Z9{dxsx2oS#&@gmEjkT6TEmk`=N>j~z^>J=Y`*d%{whR% z(iGk|$(H9`KE10Cu3G!iv@?2Fzr&?*K%eT}sy_bcv5Wgu?@`s`tfQJ{uGKc^G^P2> zKkwuzC!TZ^#=|>J85Q2|aQMkn`kyfE{oSh%YO!Wox4zXU_x$H@dY-yHy)(B)pV8dr zKkw7np;Q{O3ts^X&*Q6S8xJcrt~_bl6Md`uwBG)x@-JGQvb^^2A*C%JX!&8gX+QU^ zzGUn5!&@ChThm89<7X_ljJo{OS?8{O?1nw6xwa0O_TI0m=kY4{HG5VsYw@qgPVQH| zrUlQ-hU{JaAO7CA=RVcL`2*hd`*3KV^4N3xR4;7N;KX@;maFR;*N|S@jc;=$UDds=u_ftbK1!f;PD)#D%L_H+$CApvD5WcteSOcm##Y#S z^NBpAD@oguF6M)2syBk~$x^*B4Rw{!(3tIG!BSEcl=zkvrL##D$edK6T+6FP|lx`J;Mmag}%l^Ef|U87-1O5630 z`K6?)Fk`ovUr1U@e)aA#zo2hS+wKw5*(qH>s-DjM6<@PLI(W}GqSI44pa|Cla0Ag1jG#dK0i+wujy@-yt9 z=yy>{E5iWv6#rL+pr+|`ea1&dNz-tdB`IB< z%E$1z8I`Xgm3}N=kS2d^yR~lYO1hMGT9qoS!lUDmu01A>z_4TaA|nLLj*s?He1b)e z`tk`DRh)2A%&$-Bu_wn4EaZF5r0aTWOvj%V)7fXnbmG{U_C7zhGyMYU(SB*^uakp| zVnO{SQMfv#GbhIKA!)JBB~?R1CdG8vK>|eU*B?F6Kvk7xRt0zgY*@L{bGdJEcofI%0j)RTcy4@tS04``@?-8^4eC z^8aF5`Z=bfOPzy$Sru>FqTYh)nD1TFIW*LE!dqn%7J!8GWd&P9zfM{R6e@wd$BGvxyEg-$|z*KN>Ovk2lc1kA?jt#UtB=%_K zp($YAp%wxk66=i~8q)>5vrc~NhQ)e=j!NZ6r}X5`jg>IurzFFvFkm1nb0sz~E>$=$rn7$? z)2PfS}? z{uE(EO2^KP4$FT|6&{Z1q6IM>{8TJo@^sAC|25_pl4=CnJsTJ8#OI>D-SaWO^2Hc% z@5Rx+lvMi4vX^7QLjJ6%Z8iAsag`5yGYZD>BNP&j<%cMgmiRM?MqvKCF+cAgF`f9& z=y>Le==pb)qfWA z*OF@c&HFs&OJ79&xV6#oxOK6oOTUZtrv5jkGk%Erxj)AA#-C!knzXg{|N2swun0$0 z#dJl>E}`KG{7pxJJVUBLR*~usX;3-Zr*9n7wwuIyrA@npo6){o@Nx{g)mwE52hZGg zT|(fc_E9&FRDNsud5%`v|5LY*1-)xy!BwQ%UcEcTd|h2kN05f0C#|J?T;~|jDpEO| z(1i~Yk*??#^R2qav}JuvyQXv!Qz-w7$cO9yq@7}eLmFZ_f7h5E+dDQmez%y;RLw z9}){@q;%QPSh3yV$xf=sTOSegb4ZmR%a`tIYHl1J^#shc66dCTVl;FmRiu+oiuq?ql^=C-%(osL9S%#5#-wyIsdTM-rR)3Nv7zOSF`YLc zri1s5=~B|5ubdJcHFEFF@VO?`NJdmv1deGl?i|v9y~6M#QCwHJU%*X&Firej!5Z3QVr>3UZa(LAg|LZ zu+_Xy+m&?9#nIoQiLt!pr7^7|RXZbi9ar_{#I#bH%@pX~Y|*6Xc+llhFfXO+o6_7( zjt&N;bRwx7)lG?M`zvDoA4qjhESehi^Lf!j4Ig_zoSNCZG^Bd1d6_6&|E~?ezW!aT zFg~R-Qrh_Y*ub%*ajd`GVVGzV^`AgMKMpG=q1;Q@<6jb;!@?q4D0Y{oye` zF{R^%#Ss{HM;xIcGui*zk8=T4Tt%v$EW9%gW%;P+U`9&YkBIq6q^h^-=$IdSOiZsL z)!LYQe9W&-X?ax4k0w?5f|Fu?#YwFzq2aZoqoMCzvEkmcV?aYvIyR*%Nb7Jgs+10z6YI^sH~N`*U(DCvAJe+IQ9tZ~n9it5FEGrmiUG_aRfO|Xe!;XWnyNb- zsc-egT58#q!GSl2t9ZN$Z%x{f$Hz%iMzb33pETY0rrraRW*odl;@>>>eN*-BEh_p} zU`)ZccuQEmZ-td=LT11UOYO`_dfCOA2-z2AcJT(u{%mF!Z7_$3iEkMVgjt*EJ^ib7k9xXe_v<*#0O(j0DEnaI*Yy$)-90q6KzlIX}lc! zCc0lz<*PE0Si>y)tSAcnK&BBtPPrO5wT8C{CH;Nyfr-C?JuU0tBa{8W4O=(vm-w0u znJ!m&hXPkv4quW)N0bZuF!g9Z2eBK_2O-k{(wwB2-aAr10y!> z6nmoq(RXx{l+;V{j<7_xJ+}Ant2e3P(<=vETm5=fWk%9zy^1xU(#?lQlgd}SO^Tp) zfIR~BnY|C}PV5Hzgy;vKp8VVmgJA%~?5Ud2z!TGMzoGg7-v0dY4b`2t))#+E7EW1M z3r!(yFsVMH3>Ua<5h*`C<* zenDmzZ%;WsE2SIAxT`l71*M0x3gT^Jh0?2;U0hD~x8Q16`Q@*WV>BaW*1AM5S zsir68N?wjoMU@+7bd)2=T@18WJt&2{!fVIfvfAb2N zr780v3@i=M*~PVJq+gNQ#XhX|*|r#lMtG~Juau6;3P!+bb(DS$qX`X* zyTuBnJK(BhzY})X=fOVd{sPw|{gbd4$J4Mbb_(=$xKx^U|Fr7uE9F+jMiFbM)J9v_ zQ&A7^flYN!NqP;&(%>iV9&rOU)h)G;EPeQ~MN;XfwrCyxf}w>!CibJ(5(VXYbY90}|2Qr$_`1m+s#ljuqcJX+Vl z-T~8L@Be#X&&KO8K0;$l;Wn@u`xmTKJzfR-rfR+Q*u`PSbkF`{Rv`AYw%V*{K<7e9rr{9PSBR!ufI2N0xU>q8?9}1N5a7}ULuFP3x|0BE)dNr~l z(};C0sNuH1h*K#|f4Bpwcogj0#Y^FIo54v)M$u@vZiKs}3Qxdbu#0tDC`Zp`c5!2} zw`d*zjwj6yFiEH@z66$i27F}Vui!yG3HR8%s37(UHV*dTF%foeZi5~44A?tmKCEd{ zV=uz$l=wB+bw7tOh2TD~knzQ$)P^@*Q=kgNV4vCNz*VWjxv+zq1G}*&;2l!kf5KpB zKzu>6580v^p>eRD{T!mkZY0w@?64bK2&-I=_hHZdc5RE^^n)o5jV+$G*^KI~DmLlb zE7s8=mltGGaVKnYJw3CF-Q*LnH{?^Wm(M>k`+J%GXP9>4vyc|QEE=eThor{F!(hCp zfpyp`xQAfR=seh)@UNNu8F**33h+yqB=}hi%O*cRgz5 zVF1Mk!gBURW*2+Mf0Wt9zH)tQdxgr%xL|{=ieW6nI%w5EADARGEcO-r(9AB@IjEkE z$n0V-+cRO0+*r8c>*t@cf;q5H^<^;rLc?NTy55C#j3~Ix+qG`oEAgQ)81#dAHms>s zgMO=lSU-?LqNA)5GCFk?wSG;7B+Qdor?>1^XLkM4iW-ysRXAOsORHdCOaB9VF@6r~ z5LKWDZXKWPiw}Vh^N})x%sojofHyn%d6m9P5pxJgtVZ=XIZ>R?YM@1Tz&1d7fg9*tT%izG>|p((3nk&gAokOndblHY zJq}G8xezOreRyUU|32AQ!roM0T0^{RVXb+Ewa50M-ME95@fsT(3*r)heKej1d+m*Z zeFt>~?AiJq?590}y zXV!4o0gZ%p_G+{)g-L>59G0GT@Qqo4_)u)B@UP4+_OSmavx|Lu)U~!4p>DA6c6w*_ zKCtim`on5JM5K&IVkq2@g18*EM%+KypUdpxF3G+c_S}C5d&<_szPz^Fp?MT5r7g*L z!_>pxk^91DC#QdgeN(Ui#vFncyO+d%{Ydz&&$_m7_h}45mVE14(?0x?|tl-qx5Agm;KcGv|fLQlJ@^cAnKNI0W z$-YQ>?LRqE#*5{>titft_IoRB0gNt8$;L zg4kQGUuG9;9jF2QSQkmSjM{Eq6nqO8j5buz@1rR= zU2*G^y+vY@QWKA1sqQTJgsiT3BW$vdv7JidGPWko|2Q(5dx?H$QR0@#ezomX_WLXq z#B}VtqEQfkgVkgC`Ox~r>VWK@VAnw;{svb2p_j_IvF~8*X^A@VW=@iz5!+EWSaU2* zUszjCd>E|zUGcH7)|U80m?ZcS>%?r*{GUUnii||(!jA4z*p7Y=+tD9jq`{F`b#YX> z4%P~npSv>soJ=pa&%Xo>{a1x2GLcx@O!mKJcCl`dWq&KPi#-y0>np`!Vft;bEtsa& z*tO`Ic+~WWH#_pPb%l%==qcFzumYtTqPG}DW9 z>dAg=vRBlIGA=kRt01=1@tIw0`{kKk?CHB2_L`WTX~a4|ns_Yi!TC=bdPAnUH&4S_ zAc}Meyno_0^+m%SV4V}vbc9KQAF=J7VXukZGmSV-CG+1uD-df$6y1Ta7u}(mM(n8> z4p*U75F=nc6%mik^kUtV%6>&=7jLHhr-B=^0*>8g##LP@1w&qW8Rnp%Jdyzf@ zYpCVtZ!k$1A+e{a@=jJD_R#$U_7tqnG-5aWCG3X3%Je_Ox>HiaZFedf7Q61YFm)?I zv>h3rfV;sCVh1idTl&;V(o{8XY7zqUfg4ea6qD*J;ta@2_3LpK5*PHhGHp!JE> zL)jn2?zQuRHTcobZE5a(T4`acG>~0gC?I_ejsF@;?q zRtKc{EVHi>>msCvmFb&Ab$q4@43*8&h|5V+5BmVx7501&fIYk;GtDTtin_CSoCK4U zW+hVOPYJEA)h`#RtdeI zK*J45GzV5>igX^V;T6B?;Be|sovDFk*n3c}Ab!p?{zRHIEjtvNonfS5bQ?PGX9Q&= z(hr5}43MMYnP@~}X~eqGlxD0oiQAAW(95uU3T}eEU}ss6AAd})MTLxhY2EvKkwrKX z*CnT`GrQQkW|lrSAYIaLC#8dJ|^!!@bl`!c6u zy-2NIz6g6;zME;p4(?O$r483B6-9cMjuv7eNj3wyijqmC4Zb|+NG=x#<%C&Id#$?0ttCH7_aUhKY1 zKLY!z_!{int~Xp4pZYy1Tm=7O`~N1{!;?^D+(3)YMFX3_ZlDY72D-y?TIR8kFP^R< zZONrhzZMmkTQh%QBw>jBNl-ajh22y0 ze{d%>vv_RHr$l}9*1?{RZm=)e^_hJaSb@&c{O?M}=X^gHd+3>X#~|X=gT0_GhrPe` z@zkIvIDb@Cf$CQ#wNuoY#jr2&@4^oH2e_K{Ll1r?{PhGLM`ggF_U+BU5B$6Ys4~nh$Jhe6cjI}iFu{Thl zfCgKW*gNHn%r5qo@Ip9^Zl!c7885U+Sp{(w4ri&6Ofv=ckY1DN#kz`0e@|u?dn)H< zc5(Cl|HCezXY&)QmtsGue8n0X5c@QI&35$u^ttM;!mg{IMyN-hG>VCRUD&6R73>4M zXM#+m)h=9VUT75x+~dn(uimMyj8n1a@|w&pc4O0EkA%Ji zfNEiZ`wIco@J#ILOq0(42Q9)Nb`bMm&+&X~sBD%aaXC2RN|WFuaqW}v7;+3 zqViRV?t2!RzKNv~d+ra)H2!80X@*rO=#(5?n29DNmPl+zzt1#RWg4+p z{Ti_LPl-@e|gNS&fO+ zFmqhecc+j9jlV}lnu+~5|8-VNba^J4oLC~UPCaR!hTZTB)?*jj&nvc5cS&OT5%*4h zN_*K4^S>b(?4>dfu^qLtoyul85=*Oq+GZNFG-BT!4TOC`IuLdThNv#>hlZ8$Yq_Up z70#4M8k~+ztOmsH%}m%%|71ON#O};o+o@}opNcYN#OlrbOk|cw+b-)S1NVV7qVHvz zm9Q>a(yW4al1B5t`98@}VqcrL!|vC1>ftKN)w7yN{ttsI ze*SiXMMUSXG*YBvvHR$~9JbRdtS2CG#}wQgm}()=rLcp319s5w!bnPG&A&1}WWI3$ z9@fEn+T6rrgT`X0%dqWRXZH56dM15W*t= zeLUG3^kT2@Kft?Vlb;u1PuW}6-+)$uo>S>x9IF#yY#Nr5*h77}?Npwe zSdPTnesc6+rkR&%#J&~#J8YkCCrx<$N15Glq8_vwPz1C!j47;ef1Q;YuEp*<+P>DH z6MF&n&+KBK6KBG{fBfwL&VLW%^%V5OiGp|yu1dTV_KF%Ixbh`v&_K zdVdd>I?xt72_wh+_ak#Q88v(#?89M!D`OWspeJB8EdAfC!P}C=YCzmbc@vLT1B<$w z!5*QlV6|T=^H4@}qYC<_Fp@aza4jsS{oq|-Y0iT0BAvyf^?pg8xF*@R#IE~FY4(A= z{r0yWpA~-{nHm^O!9Ix9z?Bvy_UnN6U_Tn0oR;=48W!s&MfNtZkL32Sw_-2YquU5G zt?~ZQ2!(Ja#GW*obe#`!q)BqJ`B#}l! ztVlHy_qlRnKk<0bcJ%YIx?*1~Uxa`3 zMM+=AE_M)?*^VE7x1M}X#(uu`pPYVYQDRsAA+w9+Tn)E8un3|C_SV`Irnn@XxQ0~v zEo`S@f3cr}Xjh@24uOK`2kT;^3S(h!x!<}ncCnpa4SUM2&op9>%589M^7&+DUzGF} z-B>!Kh{PSKpnyJx-N0w5LK(YQK}hqJ?bKbDSboGB6>0tld-48kJ$AS_|2IA;4IPzR zC6*&`IXP;ZY0T1ywawI69qj4oVLf(n2hv$Q4r;bD|H}BL;E?75K4${E_lMg~WBx)$ zHGC{~zjS*M+$l9M19lL1Wp!sKR$Xx$?SDo6C>b~Qq$^+-my^@yZKtxo4N{JlVDFOr zd~FSRaaF3j4)&V(G1F9(@%4D?gX23|HAI79kIG>%rjh~?J5YTSWv~;3zm-w}jliyv zP{%HTJCn-iOxOX{_M*TyBYU|rcCqhXPJ`93dNURFpKR`gJ@<>?nxuIJ21Cch9?@6f zaD~+TzeC2u@-FNkR>8h_tb_Hcl^QM|QaIWK_9b;|*nYN!eb>|-rdkL>?8|gNSnY=b zW%|NuV7x0ZT;k@-Xl9?9*>B10{uWXV^?d9;+?Kr5}MP5M1x4|P9ypJcxz(~HBmi8WDpB^hsz8(}wa7wq|71UJtsOtmm_ufT5X zRoHhv?_~P-GQHUPl@&7X2F~@?b38?d|1(NYj|9;Pl9U`&xFAckk~_gcV-uR zq!%Ymc>U+qOeFSd|1OCX#1EN9tOhi4Erz6qneUdc*UB$5d%MiuEwk4T;rz!(sRfnE zH1Fv{U_U234fc?p3s<36KukrrzZO?*O~)QzQ)2zUK}NOZw9U|B zZhi@S`*nuxv^R`2G$!^)>|r|%^h>P9#H!oGu7i*?LTEsP8G_DeFmIuJC4RB zmQ!(Ov~qeA?1Sh*>#>WgNacSX>;?XKrl~079z5*=8t}IVtFcAc8*!q>S{`2XUhKLX zWp=R}-URldZkK7qOl!RV??}dXJA1*pq$=v8VKpE=0j^1W3T&t6!I;C%>iEP8TI^R% zugEl0GtJb)IsZL3(qp4r8IX7f7iK$m42v0qL7%yxqFcQS|9|JPEW z)vn>)_=v*MFJMfiG7qsE>kRk6rcoIJd#xN}Jq`HFo;4Dqu=|w}efcxh!f1)Zw+Tu# zj*J>o5c+0lk|6T8L`yRf`-#}4c@Oq|!+&AF7pT>+Vxj#KmQMw`9qd!D7EXs-rPPOv zhrJ(+wxmj8FRuQ!6U4y83P_xuNR)0f{@3Y9wBOy%k@pSVU!i0k<42!|ujDwT8;##^k8mkwwq+ zrQMjrl=%z1<)<(99kDm@IKi64N05f||6vNM$SAt`u!rl(tb%w%(rhujm?p93bxYX0 zU|VYlqJ3foBrfAe0r3sv@%-155P#jcMD>Vt5t3*)?0}9-j^se>pih9k3(m+iV*jo0 zvdk`y=LhqDWmX{enSWbm7u$Zn?F2eEv4R%Yr=XX^9*Os?r%dbx`yY54pZ_h7Dthn> z7;QeJKJf@U&^kA>#)1wA=Xe=>>!TJ>|&pum6OPL7)Qe%#&fa?V(;%J+i7@m zVg(^SoklffpIei-hIAH>Z?LQiTIDyV$Su909v$BQlLxHy~>4 zblVATEUYJ>3UnOoznsh-;ro9IW~T}giFLZEH_yOcfG;JD7VP3oFZP|!yP0N1rV*Eu zPkn_xSSsuNpZ-35HN1ubA0R)&?q$o7#R!Rg55Fbs;I_*&Vvklg+wt%3>{nY2*oU5w zBKkunx;C*y;$BJfT&D4t{!6nMy8_i{z53W2E32Qc+QvTy&;nau-Y@uMD*vYQw1@s( zz2(;akpPvir`#97Hq+k!H(w9u-wcrZ_FaniE$#_Zqg3W0b{`LbJr0LjLtX#ofcy-@ zUQ0cNsox+ViFXaKSH}bxpYhL9lgV^Oq~&xkyhGwwU@%nnj~J+drPzIFf0Swb0|(Nq z#a>Tc1<`)T;zJu9U`(N79d@h~ZyT=9L_239u^Sr*dxIX8X~e#5J=J!C@GmVWpt0C{ z(y#)$)fyd3%IGFpyTFV-=qhBxe1ysdQ;7?Y-;Qc}j( zwaqe78;fw{AEr=m`e7eTWjUQ{O=3TNxgPtj*kH~6B&1G}q}jK4%jlJTFG zu7WWsx{`QjQfZ#d>|)(oD2PR{JMdDb5!?O>>=WkoO!In$jH7!iD-c(uhTnl*c}1oX z+x}r@k3ZiL58)M@7_}+TA7BUcb2?$dpQV%;lNvki=yd)u)c*Mo4f}ZP+Lr3^O>n2A zUjWX@Qn8`w{@c7^?{;857t&5^JhI|=qkoR(?M zgNZX9K8ZtT;B&EuKTCZ?#tY}mtb%{^L={TM7Jr^>fc0XF)`Wf#g(NiKA4idXI`((5 zYqZWit_bvE*eB@~vir8=4`l505tw?VG7qtj+b7_&v8my%$H#Ab5nm2_3MRuIm8)To z?rljUpSQzpNEKXVURL38*dy^g>^=Pkj5hSrKmDR!uEZXn-Iq$+oKQTQ+#Oa!3T_{` zHt`8C82pTaxfUE+lB3aNdQqT=Zq7v06H6oZVR9Gj2JVNesHesrnD*L#svoJ$%c_Yx zCf9EyyQ+$P(0*b&&8$wWCdID1@u*@_#ID=HcJ#H;9nA3=0u_75kIn32 zmQNU!ahYAbLscp`FDnqc!mp>@{(1GD75`e1IyjNyy{M)RKJIGR7bccQyhqY}n`!)O zN7DR&{ivil;^boQ9t~p-{Z7A%6hDzQngX8`dyOvsGJg@QTQyDl4KPWmoY+g_X6%|3 zX`Zk~fx_ym0(u7445?$U!G0;>KciVD*n-plP~aE6e}X+*8=g``T}~{gVmsXu)@h<3 zx>}#u_FrMQ&wZ`Y9r-{qJrFCpb6k+vcOa88yV%R&%FHhIecA1qU2H!OWp;7%$HM26 zadb~*BC(&oy^`6*Wr9?X-^lD@>p#fsV(UM#U7yHWO~!vA`3d$xzrm^5u*24D4_nhY z)A(n#G&S|uI}n&g^kCQx9|8Nya{_#@Z+-3~vt#Pb-(fGVWpHD%w>_;`D`NL@hs-W^ zW8E^l*ymJF+X=Lxk}`_A0oF=Z)Wb57SsJnKP^CE&_LPm!^kT343GhBi|Clx8#Y0HL zD>567DWYoyV=t)}VlT9v%kACPM0NC17bgcUJLs){>gfLihaRveY!iwF}*Dr%EPJD9@c%9BHbPKLfbpj zG$vNVVx9ET{}uLO^=_tLk?F<1P5P71$X=1TlnnMT>|!s#Nw811+1AjoSwV<(f36_j z%=F*EK72Mhv+ysr|4J(|z6kCB>z!7bf<0g@9PtF$t9=TLDFiC^)LfU@#XfLm*{(og zFU&dca6k3^iHzQHp~m`+EndVv0>%^?6FchRut()$YiPhfp{G%qgq;S$sJso^f7`PP z|2@vi&i@`1xPhLq8#o*eLEv;)Vl^PXDmAbY_7lhNtfx$DpWnmwx&7J6r_TS{l#x@h zrbBbt$D+h`)EB#6Q_^USg}p^D%=F^JlIBI&3v;D4v?bQ!EvaE;bONg34bLf7{${YZ z;#M%lVW+f%HOJC-gR4jtP>)Pg57%OoW^iT~r@EEWaaqAAxKpa|o6J5L*4ZFOf6MGk z5~~AZEm&zb8CL|fDeT_w2vb}t^AI<`BeQ{wdaoWFm5Ib1lKt12UA$qkUkdBuq`Eg` z8nH)iMrIfL#JsaY#`8KG{#A1HKCG=Gr~iU$6IY#E^g!G%+4qLkE9v)#_e*>w%-{t3 zBQO|xFIEuY{9lk2h^r`&qbFcL{dqalh~4|8nO*Fjy_MO;*00FyaPf|uPbjEK4S$}A z#6C=Z%Isn{R()R4GqLUE%r3Tl(`GyCUl|v)X)fTevzdLX%r3URz3q&#S&LEJKxdkG z42FHGj)u=koqSVuwf{74%6PG?fc?b(TZxiJtjnmTrqlU_T`V7JtVd=S+rF#q1m_~ zEA02Mhxg|w3YEoGI8p;G#@B_Hc*P50AH8qFn1Ws`eG`wjV6UN%t)cg;$EWjOL$R6y zAH6@qHB?js)fW^~(+b8EPC&7yNey&{ebcck?A|w8k4CJ33 zf!2YX9%4~qp9e#+dpnJQ?eu8aL634>IwqD~K2L@1=L}fwhv8Dj^L-v{yVwi!64(tl zSwjPpVP6Yw%Ix76Y;mN~or(Q%SV4^ZbzS3`q_cGWUql8DLn?OfU(M|P1zb5=j{Onz za&+Z|Mcu0sOC#=+G|$5Bz$>t?1*_l=+J8h*s-93>MmK{og@9TomQ!&r>}q%bY^Mjq zc6tijfpYnr4Exgj2N+ZEd2M3(ytYEdk-h-i>1(i^u7>S&!;1>1ZD2cXn^;c8wG=4O z-mstT4zV7)xP~;G|HHEaac2tDgG*qa|G&>PVz2h;a8=UZ3j1RAN7yf;{Ru`=D)SJ# z?q4qQUt}pD)4cDWa0Pm^5Y{Uy>e&)_5UifHy|{Ss?<`ooQPAhZB%v{}&yoqSudWZn z@gQRU7iXeZVOJLW(0CvANPM1Y#O}@iGP_vkzXIxUN%Bbt{L{_qOau0wv>$qNJQ>gT z1XrL;?BTr__QCR7*w_B&Grib7UYzl(u~M$E6kN8tnbC zH}TkMVlhHu2e&iag>v~hGSi5C;EaU#OPbrGCVnG>f5BRf&7q(>A~p5~>?auS!`@Dx z!oCCE?$RRY+QjlB_9b`-?1SqlxHbiG;ia7ap4Tf&SShON@#SqK*6viH2KcS4**9i0A~n_g$^WuULy(fey&* z;?yp`?@Gq=dRQhJlzsEwyocj2nzlTUlM9Uk)kqu*ADSAt&vmiSg|+CUnFl+FS2E3# zz>L2{%BaVR^exyc_FY%NF7_~fU^{hJCYB$u=2d>aggpiSm+9BT@*~Yp6*3;`4JQ>( z#oNPW1haV5!49Y^jH#qDvF-J?6NK0e^n&ewPuNHDen}I~|AWcc(P40C07w1-dG%}< z_Dd*G)UU%;qzdluuwOO($aS%ck4c)w%Zs4Jt~=mz&VO&ep%$qzSOKZw5wP~U8omnl zkltWDcCij91+*-)i+xM?DeRH>x>>{gE93j~jhl){#Xj5H*-k)WuazBO-_h=#Y5KzM zKtK3j1f~vb|C{0q^~S^9wf`0M?PN&8yo!DCcn$U+{yw#aAl4+-2#NJa6E(2$Q<@TctOmqKCO=0edzrjgqoqL4pThR@6OU^x zqO#a?e2eW=5PP(4hviU??twj8_rhMJ^I(d@5iYKQ)v-t6u;VoUPgs=Ly?j2ii+w!5 z4!eQ3ts&^;u!H{4c6^Gxy+49ocMaTp{(nJ6(Wy7*bXD(zuyISokch=+Uy9yZ}pPT%) z7_PRkw_H!y!@C>oVcZMGM@ePkPNeGPDAZQDu})47TZqG z%<83BuLm^o_$Tb3zlFUc*250^=VVvVRZ}_t9npqUi{6NBZ)H1;nbokkM{0O?Ysiaz zmFo}N&;FT497l`!Kb?$wc>(Mddl_s;Q(=6Ep%(jP^;5IHm8##Ro>9;;u|#4! z+6ZDwf)M-AI0@E$pDNr8+vy$F z6VS}W3Qp{U=n>cl(W|h1E`_}g-U)X4uipQIj8?lE`v4{hcCqcNY^O1^8W#KZtLn<4 zfsJ7M5xe2muow8Y6*5(*)bMths16R5X~3+;#D3bfC+y%Ft*4UM2iHNc8y;#6eulwi zwBZ}sjwPeHY2tA?Y^PVa0(P;Zz7F#l zv7P>yan;pDU9s!7ww)eToYCSEJJQauopyHx0uejVgJB0cJkyB1T=5KI$~JFH3U#_qvxegIZLWghcjrCJ{guIBuAFQ2j_8h9p& z)YxKJ0jaTfVBZ^l0ee;d1XCR5yL3%t)fM}V3GI_zmwR*gM3yo>R(o1R-3HjNbo7J0 z?~h1!_22}!Oe#JNCMhW|t|FEF^h|#N>~-)PxT2LW(F`&qp|aSOZ-;$XGY|H@f82U{ zvk=y`Lp^>5_A&fsreBflYU@ilJppC?|Dkvay(R3snr<-KFr@W~)qvQqehq+q_dFc# zj9v{~lJpu0u{(1q>@_hN-d_7p6=t~t4a`og#>BOhH}O~md$iuO9=q5Le30423P5!~ z$?Rg;)$W%SGG0VK!@dMpUt8F>fZf0^Gu{fuM@i1auDfk!7kh!%!hYtvchZD!WN1to z^;ou+&GIiEOu76Iye@nFpGX2W(g2kwv@y##wzFR`97vCoeW;3{mhczg(Z3RYW>|J65e z{=0!S6m&`r{Nl!lSoVa=*ww&pup8?O`;_btQydPlfr+(l#CnOZN%KG6 zqQnQ1%IQSql>nAJ0}uXrP1|B>oO*t_ihqHd)$mrQMnbV*TAGRvu0TT#8)_~s(e)-a}0 znTOan9b3TeSO?gLSbe4!mwo>CCgTOQMxu&3hrrAH!h&?JpZ71kqu!2wrM!^$c zbznK{i`JToD^Rc|RZwH=VejJ&rxlB+3@acxZ4Dm^%jxlO6{+fug5Be@U`!>|5uZsa z&GKXq=f5)E1uJ0h*A1o@mBrmt<(*;o_*d2tP`|_qPTUi{f;+>S#6y#xC#Q4%dxifM zk)6JhRSU;a0a4BPVtzTf?+rb?szuenji3-D?c$WCvrO{^gmt3W+l3ft-1)?*ht z(05_)f{$RYiLWz#MHvO49)Axzh@Uf&*qRM*Ei|oQs)Y`SJt|w-PSEXO?}9qGKYDfG zl&FdC|54^)j0$3_+nR3u!FcJ(~E~tPaSyQb{bm=yRlX94wS2{4Q8YcX#SP))u|QSJdBxr zdsjd&c0jeTFE-sWjkqsb1$3hA1T-2}$6CN+;f;J&Pn~vIhn7cFref0~R**Ntj_Z#w zrm!Yw!hXxx0^2DQd&HlDU3ZZ+v?=z0EQWQYsI9MIE)VhP;6{HeI@JdD6}KL~2C+Kz z1nkEC3S$b(L0kn(^DOMydM(q44@sJBZ!hX@dppa)H#D6o&`#1W?3zRxHSwj`74_X& zWwCeLpWzcy1Fi2U&Z!PC=HRn7v3!b2;_bj7GM>_*S%qP+hi-%`P-znEk(gpVcCktcJD174)~T@330+D1IGmE12R^na4u>DAg1!f@@*b zT?K3LhPMN(bz_Lksv!1L`|3N3_oQ`%<#ZO0?l4J7d9nVuss;|Q9Y2E-%crK)!5ycM(ms1zhri?8j$`S*gIuKrV&Rw^Zy|k_vTaBm-O#o zUs=D0Ym(E_tm2T_6viBSFV;3z&|7A9vA5z5wlflSVqFe2EXo|1DxZ~!#wC_Uyj#-T zV-0yR#i7Tq!F7qhhN}{g@>okQtom&C-i^p<#{0 zrPd@?K(be`bG?Y?{}mQdc`Ce561@~fv1gfPX<{`d-ZAOFvxdC*P|{gE_M2VwSbS!( z&zoH-?meERz>DQY*u(WE9IgvgdK=ah$Z4y)i}x4q4`V8od5C=_Jj8Ya9g z{+wyV4yg7|#bv!a?E8sD(FBlKb=D|ivEN)0cDb=g%A|Ay^ob*2~l=H+YHi}c$}|Go9Brymk)ZHepg z9eyRY>zra(#A;NJS74u9Z&^d-<*+YEE8vc3n|S<`X-fA-pK46(Exk{&modYYF!yTg z01DiLgWy_hvY!EaYA(zwPk=S-YG5*~HK8DGum<~$iKP*%$I?6l#}Q@zUvLEq#8sqf z_$Ao$z0?{UndMaMJLA=`uO0sf*Canbz#hd7?<+LFgv0q?MyQM%-U{}7w};a!=cS!g zfq%L|ZAh#j#6F$}z+Q}p!uE3n>>!SZ?WZy-W#mY#lS@N%8tjHAz?yH3%EY8s&|=#s z*^VExe2V=irkkvxu9yzT^M4u{zd~|9>_{KYDv14J_A=XPz^ul^o{lxv(3sfM@ipvS z^Ml?)>TCSZ6u8H&?@vQSWwFomt!$^Uc8S%n_z<)jxl^o3Tqf1XorT>Cd>kC6hV@sv zfQ(moQzn`MdsJ?Lk(T64+=*097iM*Tdae67;~sAcJFtCn?DY|CmB0E-WBNC zsKn}}xI2#2%iqIZTz{}0ySR!}!CeQtW4C7-ajIJ>-9^U3J|FgQEzBy2_rReV{uZ{= zst1ami5<{}wi9$Yu{t1j2YLm4I{$Zf1sV{0RqqMAH+#Wu_@GQL_WBqK_riyI{~OpB zs_S8Q>{giKlJd7!$T;ddVDH`gEh6fq(oBL!CO_{!Sa*0MTq^S* z(|pQ2bpJ4R0^lBey&3Gh@JGO${y2Z&4YD&5aI%9oTr7>Y+`s7QIGi zTGGq+ZJ9>w<#31XbYx~?bxN#z5BYf(W)|bY_NpsTAg&@+1FyrLuH~>BSdr<)wy(6E z#>BdzQDfg{_8$@}pdTLMU~|tp%q!j^JP4*<=)Kqh9hC84ct11>^g6hl_!bxpb;a~D z1aUX)&O8W*`xYH+FJ~3R>W!j)6+VDe4Yd7p;Z&@Eq}dYol= zOxh@r`b=Y%M%*E34u(C{!{9#H<^OkZZQ^@jt-lbpGTtQ*hJt7p@0=>U z2YXb$$TVvcD+sXzZTU!XN4-5F#=stx zv9K4{1h{9adk?I+R|8MO)rp^l!O&r`Iul;lc!P{jymvE^*rW2k?euabT&A*myc+HS zH}TkFelbFIFs9I$cmS+`PR;CaabtTn1&UOT&xOaO3Lj<_#OkpeeGc!Gc$>czjfpiv z(rgdA1D#-xL>HLY(J=pql7U0R;;K-@XG*ug4tfTBP^$ZJrWbp3KZiZ7 z-^10~|LXCNWRxoE4IV9=mSOi`Q<&nQ7t7wnqfKTPdz-a~9bAW`m;bs<(ODjply>84uk=Sc^r&`x}dBU@}~j?7xTY=muEN8`Ob0a5?cj z*t=jpj5%~bZ2JOO?T3R!nZ9rf8e0pW2rG!8j~A82RoK+n5wLqUI@5@4zX0~@mRG^9 zdkviK*t!0b!C#0@d=9JzHh-e9i(PpO_@tz{AhTZx`)R{w8GlYcjpq2hnoa0sRB^b>Zuz51(^T#;f|fRG_=!^{}o~E#S6K#n%Iy z$X8%5wBN$+yAhxHy_re zTtPga>6ciKUF-$>KCJe``M)xW`x>|4j*#R_3C#R^)QD`DS8-jvy=W%fH^5B_~H)qH8QTH2pr(KsoT&r&U|-ZjZC4{PCC%A0t!ezE9M z2iRA|I@oKX2kgsq|4csw#zhES-2Bw~NEgu92w0BP*a@~Lt|C>SCt`Qdr&vSXFkjS%a=Iu{tL9yD*Nakn#MD$|{KM=p?uX zC$o5rfqmRw0Q*+yYS?>cI$TcrnXs4tLontr_hPjho?6e(3d9cR@ysrEKu^LB=-Eso z-U+7)^oz_c{#CMfU0f{8y%%$9wQDLkmjVy(6c}@eRP5edZ9BtwEv()*@t6a9wLcAe zg#HG5k-iRl122cE#`H1&%IMZdjy}&Sd}R@KvCeb#Y#pqZVZ|+9E}U)(JJ4Uk6o(Fo zUAG`^JzfY?E$kXGQxm^J;F(PHsznIJcKUj( z5PI;YHS~BHtUwje`t+P8>c$q>N9csj#Qu7T8Y=UVweJFM+$z&@3Kb!z5v$iPf-r zxeoTeul`%15qoONu-0Gbr7~hIB5^G&M_plGp!Z1{4W(EkC(U5EBk3$2XTxsb{7f%) zKuv|6`Ohl+)&&GHHL(H`*Wz4_&9NqN4XGM_5W4~sKML=V_#L<^@w>2tSPg6ah2AT( zBO*2MizUTTx($peXxhX6e8SGQQzrJ`iucOwVjVv6+23|LGcd6_Hn2j*Z_7F=v3hWZ zD_yt* z)nbHN!s@Z=mf<~8-TtsUFxYy^;7ap_?__L{L=f?h}HPl`ET6$_NM{6nQn;Pr-da+`6g)xU7^oG5{`@$C| z{ll3?T*f|&#}lwe;-yR@4jRq>>tuWxeFN^DD%UJ68WtbcB04?t@5OcDau|6CPVA|? z7WT3IC)m5-@36P=r*Oq5+7D!Wd$hqD#djF>f!&+EU0UCvL_Q8Xpe0!au@~lhun&{fumk!GcA(#6_Wy%ZW2GJ5ECLm`)&5hY zeX;_voXXx8_NerSJ#-^8z1YE>k?|#&M(k1fE!-vff1pCfJ$@#uAg)TH=ir*ei(xxj zmg&V_Xdl91RG6PtNz)?nzW8b4vE#DnKb-&F$heoiV9LXS5_>`Qhuy%TnMUkDhrk}8 zF|fDvS(#pZBmOnIE#AsrS|!swe;dK>K`R(-Nj(rB39APu!fxQyq|y9~eJC}-KH7f= z+vinq+{VoRwPbcmPM?Co(0j3;RJ;khmv6ydfGab-SoewQ+4q@U+#%Vwe!K85-cyX4mBqbM-BU8Vc$cKVIkSs>0zQ)2E6UWS3U6iwVjUU^?#Ik7 z9-Qgibpu`Aq>*~PZsli9_#KLC5{E`%M>+nHWm@d{r<#%I8{u>Y2`#XpMS z61#zIY-d}xhkaG7h4-XWS~thQUUU}DWw&pF^>*@VWBUZ=c=i~P| z|K0GXS>?~N%ImVqVlT`#9~8lf9ne;pU2J>%%r1@>GxlFMG7h3XtFVhJbmg0v6Sqjb zBhfeUI0n0JKGmCZ;F`o2z#fSUQ(g61+%aixkzM;=1ZLn-|dY44}Q(o-e%*pKS z_>52;U|TB>6u-uZPo(KY}kj`Bd|y1X*f)!=Kpyz z9_l49rqHn1!@D%oyqjsn9@3SWeFa}jUxgoiE&WPZK3fuy){T5BxVdon3K{j_1u}ND z#ET1&*cFz-ex>vy*cX^}ncl1h#F_&6-*9EIkj3e`Qz>mn#>3JT_Ich5*3``6(Fay< z#Jj^DtwtDA7%j2(v>H3ac7hm^SOJMUC(Q-H&iI!xDAR{j4crIICVm+9B6?tU zw_$hSLzrseDg7!~N3sI?HnXpXJ)%EU$Y>}eYV%>y8?i^CJzPV%0_q4mxbCp8>jU8q zNpmvnfX;?7hfa#M=+xHv$sXP_Jf4grngHu+Cebaaf*QU(RZ!z%>1Ce<`=at7T$Swe z;M&AXVF&b27;^{+t~9?pwf0{{f!K?2N87vdVr1eLiFI?KK=;G$=a5HQk6rB1Iu5qa z(ajp>Ul|YY87`oKv5D1~SnthJW4FScNY(qNV6TZ6lU^_9EKaPtVm&KT-IdlPuA=?m z=wB3gh(6CmVr@A!R`u^8s2niC$s&FdSzSnToz+Fhy zgL>FIVmG))vY!Y$=#$|=$$mc!hCszW!v6~E>L;IHuBsHn{yhc0z_j|Ph;(b%*NFD; zZmII&Fc=yT>#{4Sm%$#b-((uG1DyhgTYk;|3^JaAIk1Q5FEGWS0dY524ZNS(#df+9 zcHPfm`}{BLHL>x>g->zwR|vNv`DxQ;S{h8KNH*vIWgnY~qF`D|4o<39%P2v-q+g6Iaj$GuVo zH7NEuv6t;QYD_GrVh4I8?CZjK>+vI2TjBoy*JQkpFU@$eE8x_u2E^JaO+0Rfz0hXC zWo+ueeXg5WjmbV2yLZQ*KjHj$!wX!22E=lz#umW?VKr7>UDr67RF1BKJ;%4en8Iau z2JFl31DSmutVJq6^PBDLKV>{mk2e?a!g6MRHnT6v>@R2bC9oS(#_QH&pTsXjaNbl(`dNr;$tVcjt_$*jXPuZ!s=RXVfb>TwzFv>M@FT%qTZ__h=(}L_f!X%|~VDA6@3dmkr z!P2ZZ;?EJQ;ivvn^!`N{Q&@D1Vf9j)w_%Uyd+?6f)Nsqsl0I=*bDIB+C~$?=7SUs~ zdN0=LrUv$gRas8=wLbCn$^I60?~Z?E8u39%Q@@7u9}YcGMy$rfK9mkfb`6)}hW*0YqX8a2>2c(Akd|q5G_lGf;$~?q1qzY~@?A{*%>o1+MUj_Rf;&vEQ zs4I5IX2HIBd9^~ucOyS$6~yjw)fa^$u~&O5xC0HS;U2J`3+xB?P4-J+Fa#mqG1+Is z{o!!_f0T*Dj`UO5^Zi4n5&I0-;LG9$bsN~h)xqvSH<-3U5MsYea=@3I|DKysnMmx) zr@%E-Rxi(lcTRk3rnv*w6iM?ZSWjTYi(#LD%V10)2yxW2{#RrLVmn%8dsqI9lDI`; z{ehuL-qsc)u@UT`H-l9yUt*7F8`$e+Yq<>HWq1I4$7_6Sl&#`d# zD-$a?@z~^Z@OQ<6Jqp(P3%waZri>^I9jv2NL0k-9 zLaN?;8!DhrT$k)yuP+XzL9iFyQ81FAZ{*%WPeA2=7~BO8{wu!epkN~GAST1LNi;jt zh^?8MX_jOfu{Fyw%{puFJA&Y(skG?IVj<(kCc$0@zs-6e)*X&U;wIavJ3X=dh&^%- z!=AFmnO^Kgx@0})zh4~qG!w0X6`h=}gRR;8ziCLZw}rKMrKy9DfiF~mP3GcjIb8k!kKj4`1xW4y(a_vd@9y{>crd_LR$ zu4`Rut>1dR*WTw;!6UZ*G3=3BXOB9NIdulsC@RqMH?*t$uLiav;lkU)NToVnVjr;$ zK^Oa>vJ33T?w-Lv(0+nw%zgzi7~Y?{(`a336N1=ZliV6~v2Qi^!=3Re=$Bw`zdr@P zxSTzIhIP`(zY6y5_*d0m{r+DG5B2(LM%tEUMJ-lgMP2)CF+z2)7gQT~aQ2LXHG*n* zES!#8_;~oBtl#}D=f5L*m<;qZ?Be_r%2Lg5FCIURHD3I6<9~pG9^<#oAwm!}} z>q(q`|3?i>4jJZFn8Xd_%X6zenZ5mPNB49r3?8w!?r&h96HD!({-u?iP-Ds%k{fIF zLoo$n-}N?wJ)~P?*4(#)&*fcw73?0*gpo>hyu`ZF$+H~RnycRbNtAQOhp>C|6^xt; zi+wVzvTi)-(YA$CCfz;3uPc*OP`2s`K_ zf=6u6XxR71li=#~vHnj91;n1$(}ON{5a+<&_Z52>iRqbJW!B&{@mgq4W(V{j`nEp* zpC#cTdJ%RIufQ(wC)f+>?=baMuVS#j3;HbR>);LXD2Q)@{#|ATT2Vr0zD#XP4UN2| zxe>eJEnz)^n|bYS4~2VYRz>2jeVF&sX`_U)B>X`f!;VWoA75E^S#C4d|*#BTx z^mXuv56qtZS~WC|&iqq&c;+`?Fm*=k3+)#{|Dsi;p=IHe%SFQ*!!9g#KwDa;2kl^w zT=$@x)l2ccRHRXP5%wAIn*EypRU~{lTnl%}1=?(ogP7$({}bE4 z1|Ef`hWl<qkm!b?iPtHx8diZDVK1D8aObSQ41+1q6`56!xHr1$`40Az zvt4cB7uS(5e}@W*hFstPn@EfO>1S-v#bxpp+;MPi=AQ(Q*w?iSf-d&@n40zU^IszN!0>z6y4VfB z5_GY9^O|)UTLtT!S7YzOKB_;19q5<#v;Im-IHK>JK}DsyqM|miE7}ouMLWYdQwPMZ zXBX?#(-ZE3rxiR3o(n6u59QJRQz0dMID871(VBUE4to)8yiw7Z*q%1mv&F{6z=%~8a_AZVz29|us`M92>XN7{lPERD5iVqB4^MuvDTw{xdiqp zyB0nHT|FDJX|YR>hJ8^!7S>vk=OUQ5G;(53*=4W;ov~>+mq@hC&Gjx!5VJBXAhFlV zbN0}PnC#T>$3YkSiTn-hHB_^i7bf%HhQvL&@FLg+o`jLpvu9~$1tE42U&21GHr%`@ zyA|x|>k8Ymckm1r>s-=s9YMmwavY458XgDN!D@H{?5%hudAodOg`oj*Q5!POp|1^6t`(Zd0eGr-gdLnql4(RtVZB@UQ ze%U4>S^;|jz5%s0#RtSh)r;lcP-Y2U4jvf{pIs&gXjqqiw|#9qAD zRzk+La5)#g4)#`=6Fg$i@g1TKD6N#VXhDUEx^hWF* zaWd@Hen;?#eda$Abg>uRAA&A+2VUHU^M7D&xOUs3VR3ENH-it%JPN)l^XtJccBO9y zU0k32Uk6=W9U0$2*t=#5T%rB6 zSiZ1{#kCIhifz4JF%oTH?CH56_6lzc4?|bOW9`Z8JMHA4i`~h}g(T`RH}kqRn8bBi zzYBKM4+f9ei)$(D1^dU~5nF%FI-Ohv^I^RDm&&Xqp@Tv_*n0coL-7HD&xSR;3TiT} zhn9lAJL}?mVW0mG!br(4_9OWJUj>nWgyX9ts5`&jxy>zQkL*U0?}-FJoO?IGwF;LhZ$?0=ph9>~-)IOx0<+#VVWr%GckK*f}NmXU$>n z-S=Q0GHrJ*7T`{>S9N!|K9?N^gK4zP8ZEKT40Y@@*xUF#cvqkQcare-coeR~tRa08 z_KJN0MoJBs)qvO^)7Hb@HM@2y&W};>?vz!X71$$GnL)w_$YR(HF9`)cg#CE^7^aSr zd}5E*r*KC!HL!W-!XqwceG6DWw~(h})x*yv=|MdS&69f56ZTvl3;WzY6ZQ(f680l_ z4ouM$kl1_um#`aqEO^B3{ga(5#cF(>46SNKw?&ttQnBZ(L(s+UeMi{Sw-@Z74~F$w zQFT^e-cpCB!|8<8{NEWe=EHlSHSu~S=qod8gv8p4^86R}SHImFinY=YCOb9OANEce z0DG-Wf?NJAn@dQzH`8E$fp9bId0h;rO8K9-mUs2+iJ*(MHl#meouHS&ex|%t=#|oH z67KP)U5lvO!j8Hf+>Z*?oL&52g;86_D8T z-9LE54K%8NPJrFx3HDG{?430k-Yy4vUG}8=zY-_riayL4>Y3P=h?-rBbD}p)cIv&@ zpK^x7-YH{(N9ngZ+qa4^K~i=6@auU$cG(dlx(l`$_dGj6d~Q>`y`)_9#xqHn2V7@gy`glVDHr zdBG#zQ~O`01tCK`BT-{N9SVp&bWa3b>}~cU%=D&F{0w%(-@${k-q@>X zcqELRrgCJjQ;G(Tat5`D6;La99IO&rT=VV8T+6$r?>=;$0pk0EN4&}0cW$johwf9s z^*g(0VOeIiCQb+R+{16H>8<6lK9u?{loIP&s8a2AYe>J2su+)hc`Marc8otkcZ{b7 zkJy7YIq2fK-``eqfWnzZ!iA^XM8jqUA+Cmg&fIF~OG2rop_Ev)r4GY7#T5Gcu;=?D z`_aYLKY`t)uYyOcXN0Dp=k7e&h$tnFBH_Zv!rs%T!C2Bbi92Th)o_>04+M|c`YS;f zyZ+a#>n9tlazecn`zhDDcTr$tn6^?7;=0`MmawwsR6N`!P0*QyYQpIBla2aa?r)@cEbn3SW{WC^|5+fK^J@2PY=4-`nlHW z@nl#%ZsIk?dS*Xrr|-d&ZI^6%Aeh7+iC@FMAS{C~$FGstzfUo8gJ3V%5ir@Q_u_%D z{MQFvTwMno9XFEjG5Pag61&nxK^K>ErH{ZzXRg_^q4C1Zm%zRo{uK5U{0zpDf)j79 zqf0~795TedCNF_~?EE2k#Hv(fUkJL`YvN7oOvmcXtumjL%T|W=Eg}-vDi~ge!~T>y z71oNCJ_pto6+Z_%(6?Zu)HAW`c@OS^F8`)`We-8Lt#b97EhRKuO}rXx%6u{JDm*{v zVn4GN!d@$n!v2coIoM~zDwrx#aAJGb?!_k?7yis9qFV=-DWHJ93i|rY8bDo#r;_jm;Re_X=blhN?33$0_yo#nR7!gn^SuQusg9S}i@G!H zk?0dV;)*I&FZXf=J?js9j}C_al|9}17Y&HrvtFW}t6|gJ4 z0d~~ly-29=vY?B-zn=@b*x!KsDd=Kv>AzQ;!LYA}{g8MU?o2~Xyf)ak2tr(&gV;Fm z7QrL-1FUDz#U7R2GN<#uHwh2X&`?0^o{b2)*oV&%*6F}enYBB_{;+Wl?5F6g;D;-X zbf%;N%Fr`gJ$?%I5Iq+PypUObamVcc5cUfHD)`sK+Uu&b&3?rwimM~R{BK604ns4q zZD0@YjxIoB^_kVMn735vu;3A^9(hK=owDbAdq|7DYo@{*YyYd^reG3#uU`%OLq&7& zi0%0W>?h=Jf=BG5_Z`@aaV`8tZnv^*K=Iw&yRe7-KQNw>dL;Jneq)_pewSH27T4o# z;?-gQ;&#*z_ThF2Om_M%VFXOw)${)-633IF!oRX9vwQh#bf1iWgnc9WTkwlLyl=x^ zyzd465LTV)*hl+w{%gx=3jRlid-;9fHUo>15NoIv==MPu`#I7X_E7f<9oL=gFPLk1BzkX7GGUoowAd&-U^-q>l+Yx9*oau;xl_P`});- zFz3Hlc-z6nkcxdmt%W^Y9|w=PjtUj%m#`P|216PeTcPO-%NiIgDIoD|SOLw+dYXSF z+`#RyZ!#~!Ju#;SV4n>&2N#WP36IF06JfBVpu`@n$?*PYs^?+2Yv$MG*Zx-lC47La zhJCwTE0a9*K&-h}Z~hCbQuSt+p+&>uPtep@`(efIXn>JYTWk3nxKxk+euK()Bs5$~ zl&<_?khYV!ZQ%OAy#qG}9u;_e;K_lf2cBJJ{>B9wyC-BUhSmF;UHFA{qIQpa!kQ-a zcn|l6k?0#d{b4<9aQJM^j_TZH!klGGQ?`Qnb(f6FCBe? z-WT?E-533g*w23_nU^VXn?_oG4ux-#fupQ>-c zUit6BKEyuB{`4F7pOR3+8lu*R7DFW7IXBQX=-pvYK~K0_E<4&DdVdt`I?o9DB-mvq zADYj9HGCNvwYjk-*h6${E}&lC4)a#3%j{k*uuj8bH?R=aCn44U2iSLom2h?XIDg+H z;mhQ|VOP2ic0k|4)R7t%d+uvSWSxe^dMc{1t%Ke^=(_~HCtRL;{=+rfroZ+|#rlNo zzL~X@#Czqc&#;HI*yA(}cDPps&r1FV8-01BbN18lx7p0VqmzUBE2Y^aj?4|b=fX6+ zCbNPN>rfzI~=UUiHZ!%0(A{e<;$4l&s{EP|-&-zU^(VN+s)ibf4-0J<~a4qi& z^y%PV25TkBv(kEI`~McozLPy^6TVAAk*Tq@ux@9nXp@me)Z#Ll8r~GH&)mx%%J$Bz zdc-~PsGf1KgE%GlCyZnhy5UJ=_}MiB_Q#3qVGsLU7p79NPlzQ!7xVm1f<r^nDJi zEp_0V7C-Z^grjRUs+f*8Fy4|1iT$i=A9S%d*P^_IISMjwpUkQV;v~cLMBAPJpQ*JvAyyv@`~5NEO|6 z!89wgdLZ^Eh1-H>Uhs%DYO3d+;4!NnaW8!cm+2J}-mkC0-Vtwy!tZ8QVX;0;sq9y9 znfGR1>x17czgX83`8PkZIJi0;$tBE%yO7}v@^Bb$NxcvgX=>nN*z-L-c*O3&)z)cv zW@ZH;9!Wh4;%{*JG^k7X$0Xe2^{@l_2KIug9bE(@c4KV~-*}b$Y*YO!yL(M9ZT4M`lI(KiIwbe=dwJ_O2-(9i}F;hPMXR)HLzhHnZy76)y9h ze!Z|K30Jf?jGl%|?4Av@vL# zyW#g>A4+w{6kBUM7;6et>~o?k?6N(AN9<1a3wlKf7uYXkh^;pUU2J`bbw*+s?5#Bd z9!+o>m7m*_`6Axc$*sqx4pe`=Ljj^cP4nP#<~!itnO}mz)O)de_Lt!Q8|*3g zPw|rD(X4a^P zN0G1km)MiJt@fV^zd(lf{d>VA*67Id5$xl$baI$7_<-y=An0N*x}or4*)u12DoTvZ z8UG3y;$B&AdrHxm*jLWZK^NOUD(GTQ$H~?il?gDTUj0evWD@?6bS>;NV3rFIh}di5 zR(NO*ZZ+(!`#tQb*=$1b?Cb&iY}gm3Xi1~G?}SS6!{SrPn1HCEeleKD2W7p@sYPM& z-dR5==wf$ZSkT4R53{cMSHc-bIs;u?&J7#|dofN39*mnJ z>wQ@5r=d{7L$uBXm?yEH@#|qf<2O027zwebrXB2{w+VX3;1}oLjNtDcBhif@nt4sI zNecva!xLf6tD?Tdp3Gk16?8XzC0t9s>YN$;V(+r%q|<*b1pT#)ZfrfQ!fNct@Ygji zpn%SaRs^>gJ|%m$Iz5jBdb`Z>h&yFZKYK`veLOc-NcdX zo=L$Yw&!wtXjrUgfktIIT;@IfB(#ZyhwHjfKGCL(v8 zd`|WpeP$7;*sFRx?89nu@QA$tFMye{>ivI4Fo}Jl-3+^;dtnvU)GWw;1uZ@(2lQdq z>qv|J)#L_e6@iMq}JN6^i`wTBT}0xKAe)-u=& z`Jb@bPrXsXh1bIBr5gJv=%2wz$uIUW{%D;BN|TBX)WCg^nt1htc}r!*E$9DHB)pwY zu!)Ms!wnQr;j67@_AThnpo`ss=D-iwL!FCYkM3f)8|yDM@HY|;;@wa{>;h|Gbx;Ad zIlK5(`+Z@g)HAW?dl2k^4h|l%A6g?S&Y&LOGXQXw48wFQ`WB$jH zn1De&TL$;Y1^xrO(obRJG>l@M6Y_rn`#XS5CKrLWgUL>Qv43Da64vx7pkw6G{*$1D zPBCTNp$z_$F7^Snz&bq;dtokwcfqTG{tO?%yXxt5Ua`=+!$_%4vC7I*=}DrT6T88l z%Rw$cV~v?xW$uDsjZLs8v+s`=1YO)Q*MEz3>X}pJ>iu5{?P*o|Abe;p@TCio7HilQ z^?JA=^N!~imz2F>~_KIea3PRu2vocVUxBk>@NoGM)e zd)OCek1Bl@cBS9KuIKx}Kf z;pFFk;ygl?(l8P}4iAS1V^D8yf&EDRIgC`&sEBnRlxKx?8a68kvFH2W@L}2C@4{lb z2f`h+|5VX&BzQ}`83%hYo^PEzu`d)qg>|B->@%=e{;RNqehc=Lt%0$o`ppV-O@$24 z{kl-#dl#UP*k?fPMa4*ny*@g^zHaXU`_wx)_{FYgRMyiE;gwJins}WAy9bltS~T_Y zf?Pn?uPK?;199(Mc0urny;By#J+o&O>?_@xi#Y#}%MA>?xagVKi>?xMv3jOJ=fGof z*?+@BGVeCEs7LIn9BiGS#pP5F^M7#27?xQ*6Ca#RrP5E~ez}3=ux~!E!Roz6sC-Fr zD0PF8(tLM^JrX@({kTgVI71$v|4R6hISsDGph~ZjiT^b0GvGQ{!Oem%g;mjpmu639 zPvzF=UVuBeavFAtzGL#NN9P!#;+82m503VzqE;OgxB26;Rz}#b}w;8L@A@yTE$(%fHuU zod3M1iuNMI`}+vkyWm9F9&xpaw3nP7u?wu7GC1N0Q&9`Twg;nLTI! zK=&cjc3Slh8mrP#urDTKV2{dpnC!Gx#0o-O5c;%_;44E8WCgJ~z#vljNKd<`R)6o@$A`Ageg(W1|t`FhPIJI-tRVUSEU`;o@jfcAZaE$nZS<&L#>k%dCpTni^H~V(_eh>(Jy` z1rN=h9i}%lg6X{KkXatF4r0~QAJ%g*eNTC)3m}L)@~)vf6Fw#LE5Rf7Fs_8VX3tl! zcfkf%<{-!uYqZpGopl0&D~)KW2g=Y@K&F8s{y*B2S-OIF72WIX zHG3$#-Kv~WMPe_sx91N3d(D28cSE+g4|S=XEoW4}(p25Y!@Q-q#=%2jdG52Gxy-x# zzd+wT^D5Z-U*T?9|Grwb`nx1b*z_apbE@v@+%Sd2UXz=^{^+ws@U(-y%iF`9DA&Ym z-{28@{U3WZyQ(%vG(MQbu4n?>KUXy09tP8_ffZkuJq_37pwYW$mPf2BuL2rtk3Pma zA(Qy9Z2E;w=nG*7@ovz~Dl7H|+veILi1wM~5f91rp8#ulmg;zoC(+VlcxW~~1cPbr z7iCsO;zP3MOW4Okd1m&LC-&Oj*1CeoOg;P)3M91iG%P)X$*ji2U9x9X@R;Qh>mH_h z&a@}9e?V{*y4TjM2aV2pdOVCF;S+TN zOu14WFR`D>=fDo=0()q23as9%XO{*4)v!nII{Wd6t>1WEemrOjW@nRnCU(yrg#DT5 zS=d25pZy9{tOckbUWeV|zhu1`{k`B{18W^L>3IH_M9a~CeKCJEutr6dZe=~QuMb^< zF1CNqpo`UG)w8#CI@up?(Ed}#2_(ESPqPVK>>i(Gox+n~|KR^B*d3S)mnqlG>vq`F zx)4T6(<*kIm4}@{rHf$=r>0;Dd^4=z4!NNil@Xcc5f9Iv+u$y+8h#3P1J7i?>J@vj zzXTIp_2a>t!6fdKEBZF*Vm-OlK;KzKZ_Elvtk2sDdT{WFUFT_VIoC5$S3wW^c`iUj zQ(({8)S#QynAn%)>w{+&>~(Nw(C5STIk=^8x*%)*Um@XR@;%r?_n}RA*2BD&G>rG~ z?@XVAsI z$v*(^LIF+FYw+%wTm7`CN1Pwul~OGUpGq6UUdcO!0%AY!y9C}fc*OSfw$4b1J(Ycf zE-q{TYq9hT8T~_n0YMk5A`NdN?Cp09>;-i!?5R8l_E295dn?X{sU|%mW>-k~%DyOM zh&3&0>@j!}tU&8;s_Giv?l5m@Ud3KFy{xPEnN^Q?U;L`)%NK`w_j2raD{AF3x~9v%~pMqLKX6V{u1VmG;PbU1qP3 zL7}4I_7KpB%nDBI8&Q)zsu!-_37G#oLx$KrUI_bacrHuYlF4DqRIn$e#YU6z9hX7&!$e_Gpa^o>Ok&{I}^8GTgIs zLSeDj!NsBQ4e$dLR?vNa*3dXB^D-Dr4U0W0&jnqq4m4~2UkMrFU6jG=tDuY10|UKw zPSJqaL2ns!u@`d3po<%F{e$6(hE9zw3?{L^wq6o+u|M3t9&~Xz*Yg+H=f@|(Bev%= zICrK}D&JaMGTXq^lZH;*HCNgv=wd%?8ev~hhX;??3wa#eGuJcI9@b5j8UI!}aUrHA zUf%~(>9*n`x;;#GI=4H(+FB~x9qs|E>}Y#3yT@bDJ#v#_Jx7{(O{tI=mlG>tFSIvd zKS=%uV@abT_ET;h?6tDv++x`GhVRRD{vGy%=ie~#riQneSFJPsSt2D=sd}(2>`41$ zQyse4^Ev`PFIV(5+%5B(;1|0CU&Ci*&xG3x{gT^#{*y_fWo6zCBc&dT{gu$;K^O0V zN5lA`b-K4Uv!+HontXL^zdMRL#nn+{{tqDGFX}IcJzTR~fQrnjRP0CgLVIXHT;^Q? zJqUY|E`hxjp9_BRaP5B;*!<2M9SyXFebHzK`-**H@Qlx_fW$slr`SWCVmEvxtVOD} z76ng53Extlf!%{=VSOT2fp=h^V*i4D;QS9JJ57_=o-cwfw!YpvL5t~R_174_Bca98 z#A}QB#V*($_Nmtc_Mx;NtnH`ZhQhvRoC+hQEjls#Rp(ULJL^i=cZgf(`}`-Od1R<( zDsW$BHSh@RE%z9Vlo~K=3dH{4u{@Oh6Wj&A>iHs+T?cFNrXOQ9+*J%|Zx}r_U{(WS zH_!;X_ak8aa6}E9?XsC2^abed{WN>1Q(SS6uO;Dmy#=n#mEIl-%+IWV#13c??0}ZQ zK9v3mdl!5HQ)TMdr*K~2mC`yAKC3spy9i;rer0i6{5Vh8#Y*w?!m zurDLmP9&kmG=Go5KIvA#UiojsWT$N=_I7&DIvrR8dn!N4tUAAe(>j=Y+^03Wr$4k( zcTX`BVh>Im*gt3M1-q~P;7h1V)%^55R-)tJ1aEUzgeIf1~DN^|XUML7ic&1j=kH;nD9JOnbpz z|BWs{Kw^*3VAxCbXxPD>WIv6Fz2?sjy13;Jty~^5#NIsD1zqfl<_2Btp8YcDVxNzX z2VLBSz^-YE4h*`O`m5jej0hQG zuZfd`E_OhZf-bgxQP9QKrw3iE(Q4v#(|whq2RD(Sr??i>L!p4!1)d7J*u(x}(8bo@ z2)fuu(V>S0Cw4Fu!sGIpwEF_R@{>W4VRWBo$=S9p@g^G3fPtY zC7G%ou@B%6U3|K$t>v2Xi7z-2VeW$FH6R5pSo={ea3c0g@mtrZP#BkbG$ znBX59{NwKD{68QUxGR|MfjedW_d#C{Yns%+O1PGH1@||5GJ8Zn2)fu)@I{Nx{43$@ zS6*1mnb_-K6YJ_RtdmYbbcJ{2T|tb3^(+vd47==EFj87)VxN*%OV|Fd^;e;Sb<30^{2f`IEbUG8EJ8i&8#5A-n%pGp$4&o zxCZup>2BCtacS_2y*^%kkn`V9v$t%bvDKN?u=scyYT~u`Lq*|(VWbr3u*~v{`=ZM~ z&7REe<@biM#02es^cYgoudoAQc}X7RZlN6N@5S!BXSZ9ES zdTM43^$dG5@5j4F>zSa7_d=6@UDEUUuY`}uT^}x@7W-r9AlQ%8gX|%Q!I>41IDLwv zfeT?@WNxq@UF?(bo(hRtL`8Z(>@)w-P(bX9!wW$dmvh;dV9)WJ!6Wu4zGIz0--Xpy zI{!a%27=hV{9n*Nh1Hmb?sMx@v@WxHpO{OfJnJ4Qc8586(D%*t?DnhhZ4Zf--t>ll zg{hg>KVaTUb(s}}^napzp?wYebgNlhG%WUAVe_E3&D=`A45Y;VRH&#AgFReFx&XS^ zSMTw#9=i%+3G7|)T=0t11pO$UlL$v?Z@R(p4n_0sp)|E@1yJ0_UUVslp zQxIGIx)>p`=2iY3VF%sA9_s7~>zt6MGALv;!j5h*?7QK3dnh3G`j`OkhNd8Hfjx3_ zgI`>qJx{>p%um8RA*=WQ-@5?OEr)#`ykVU}V(*UkUCG3mF5B3v8>9OkED~Qct``f}u>7gaoV>%tBN}LC)z?C*-cHyhg zJ-oB+p)s?1FK&11?^$*9AnL#q_GCVq_hw$3K3)VQ_6LaV;GVkK$uxw7 zuOX+vKBUiw$xah7C9@h9cR^Rf^X$p&=jWa19nn<(Q}$4HX=c^4v_itmaKk5xr_83X zKgR3?Q%9+emv{v4s`SjDi{0?qupV#n+zs!N`H%L~@C%tMib9EgJG;`QCEhM7)U}DUE_?*<*d&{_k7B zI$>zy^%U#|o^b(mv7ao@!Mc&C((=3gQ{K;*3{A0dXe`3g}bVm3|pK;ums7 zPyepyrP$Acm#x#86|lGKO1MY0{z~cFVEQhzrbDc`R1h0IQ>@rFndK4JXHU=I5qsqN z!>U8Cr-ElGT%+?}6)hv-r`&p*C?MXG_hw!_mKD38FN~D7kXiMJ^_`p=I}G;j7;iti zxR!U-d3wbe6rPw_6^W-|P(^Ek$1IQ7BlKDDtjjEqSRZ3l&qmJ{Prt2Uq*D6hknKt6 zlc5T9hbO`+Fgu&-GW((OEV|Ewm+YbJip;7<+>q<}3@*dXyuN_FJAQbU^WQ_!`uBNA zF^N0n0^Ncx_G5ZT(8XS)!-FoiJ~HUys?Pi$7a{U>9f|UV0mWMDL##I8kJp_7pLJq%PYkY?L&qa%Rm@!NezqrJ^L}Rcfm}!J^2dg zo}m9Z=>LWXWl#6#iaNz<7ij+X3>jkgW^dR54G12w7vsS}7b{=|ba>Fku4i=6#T93a zbq2#_*3gM(QlW|^-L z;Qz*cd}8_4nerctU9(Yz1X>DWBiN@~H|sReJ+m4U`wu(}v4`^FGVf|&C|sNQaC;~_ zDzoYlkHnL{S^Bw62x8CK64+0_{{)ZN`@7W(#dU2@m@2AIMc5}}BRmwniPyEkGxLRp z4bv8Cnmcgw*4rMU;1@VQvo?duEeyKYn`Du7IwjT5v^PwOkziU6YSsMTNFHE_x=&sL;44M=;dbpjdyYkjB(hX#EFtgWb^C%|6q^XER&zV+^v`PrHV0j|$gEX}$?5%0mfLisr8 zVu$iI>|OpnT+aRuxPS#F!k4h*hnMoNpbTl38OR9-KW(f=BG1ynhEi6n`_XU0!cFv?`^+ zB)o4&!{nDVs7JvXFf}$7z6Vx7>*1}4P8DtP*TOG8xm8s^`)|cSPKI626xgfy2Dmj_ zIaM^<1*lZ)N9!H12lZZi2xtN9#q^t?KbBcRi*=eQ=r`?wR|n7P3JDFDO#cfe@f0-m z^5i#)fF{96=`awxXOrQP=&I)#doq`KS3S?7@00l(SbF-yaNm*WmNPoPnHwN2cHsut z$LMasBla_T57-CE-oev9vqnX%5pCi%=*{rsaS|Br+rIHKKysdtNs-z0=}NT{)4!DCiqV(nyk zMhB0A^E54i{ay4b1+7P^B1#F~RgqYiK~?mTO#CO$e>2M??u{l-hrbsdvpixq)<1a6 z@>G;?V}}RRsLV2n?Kv%YCI*k#o-2Z9dhm$txvi>Ke~2+Jn8Y?clJz=zxfu2^J_bLZ zdw=O$MenDo39t&^lXV3l*1H;5 z2%nujTfbcd(LS?0VsFdS;ob2oh}+!X;gZ_dQgc6z`Uj4#7d+eVoxLCuj}s! zCb7@*ck@e2cBO4Z7Gpg-l_Vd19(8bmd2)ft-jkK=$SHcC3a|XKDk)9rOu`9YT=whuU zb>Q-#i*@u$zb@$FmOl(PCuE4-@V!A_0P8-ly}krKC|CMz@QC#hs+rfG|1PFO?Co~~ z+`HD)!>qBj{r5dk0-?egC90{u(kRoN-VnAhv!; z(8bnA23>4@Owh&Fj}Q9sm5}k1kRf(~(}OOyes<8s)-MRU*!m?wp9bqWlFt9>Bz#T2 z3-(tki$ejiMk>uc+y&NxS{*#%L1>!$9sW~Hlh}{ro@bv5%@M#g8*cXsv zf<890MoYXgWfkd|s3l>r;_BaL4CEi|^d-B15ZG1s;Jtmrubr=K_a*QB)*$?PEY>yB!HG??=d&3NdeXd;!V@(|s@2ShIdi-$65c@l)4`4qA{$KEj zJ5o*!Z}W9gY5U9yPF$Zo{ewsB<7i+dWDE=$gM%*KfQl68P`|Ew>r=ae zdj`HK`w#!7`0c@~VC|apW!iia=Va5y-&SvGYOFmxJL?N!FQTVlkI)ye&$SJ|D?Uiy z0DBkQ0aHyH_W7`%Z})u1!*m`QinRat#dGO0*gx=^4U?S;n>D;*4Wr8520OTga2ZV< zcrcV*1iRtIaP`w2^S>sT%xXaFAC7(vcgmIS@Ix^|yTHgPII(-&Kj>mV_eKZ(C^7Aq z)R+>wE~+=T1k)VYQO|>Q2FSBKc+9FttU%@YAb8fo`iLUWdU$;HRL1^ToO%;s^wb-% z_xIUB7h69+=;AVEn|V!v&(8c$*oW0efxm#e`C+cKx?_vW8)^^-S42a}xw75nN@)2eHF>Z`Hi zU?0`j!1jwfkx=~$t!w_3xGiT4EO%|$PDjACSsx37sbR6F;Dn%$haJ!)cn|yv=&sCa z_|@PSS7cJgk0C?6XV&{{(6!}(G!I5jL5MqM&l5oxkI(wHHASEuVB}O*obO1L()l4n zT$c-98g#Me`?;Wt)nj$w&p{Wvo;QQOI_s@iA8X*FwEr|j{abf!`2;@{@C0dye<1Eus_qLVa4$#UA#vU_VCB3m&mA8gpRppgV*AUbulk)4x*xJPEJl_hIxj zMB;i_bJ@DC(8c2%QCw$o?l_J^NL*vXX>Pw+~^o6p+}TZ|XKK zbg_O$p~6FgJ}k2W75j7RX|T_jxxqgVreoFn|NKzkDR|%9z`9UC>}lF+lOmvYuur{u zSl4!q&}G3R_6_@?pg*(;=f8*Rc`|&f`7xNplX5_3wJ91FyX-YV7jKdMbAv9nzR12!uf5KGsvzZ!J0wz2eY;p&#-chfd+*(sIM?j(HA-xqeI2f~y~JrExY zs|R-mU3^T|KM%V2x~yN;wx~z!^J99_8GkjXgfp%V1;h^M#-NMsza{8%GPml^AKHR- z(y8|gf=BFi_I4#?ybW)eqy7Z;EoFW1h%)b(Q=ZYR~$>1{~>`0#qy4dIZi$PzJS#vM;sC*PW zV(kb8z3o{$VC%6BsA&719t(Gs6lt~M}e+pdi# zk*~(?hIvbEi5*1c<&d!=bLs)C(@hP07(8oX4X->k?YlPqGJ76`!PLMa*x!FHhj+=I zk6|zBuizd!{}tWN+Z7qR!adQX9}TM_@j0+R6J7<^XZ=1HObv_eSrT;dsO+!XzVO#= z&-p)@4E1tq$Pf?61s;Qaa=jTmVoj5R`zq*S2ff)2MPp(=QFphl`B%aX9OVpjai`qC zML`$4fu9Cl?0S9^bg}FCOVGs?&&N9)xzWPo?xQ3A8kSi{Tnri|a9XD69hC76nSRI~M-B%<_wM&60nc;1T<}Rv&b68|^<8 z?n&ajT=*{7SFy#RfOs$-qAsn2$7LS1Q?Z>chmlif#C6$obLy8`*$oV6`z*%yMumDW>qS_A=lZXzPLB^fss>iec>87-T(WO@P~&ZgGuaX!DQIC zog0E@7VO^4%N|X^Z{Tfs*J!;6AD(&Jox8UDf?bcDIsbhn8bF2%90@<23vAx0xX<^2 z^;;XNv~TCo8(6(j@B71xVFj@^c*Ne)UAnj|^RI*pcZWOV!h>Ob?N-NYDC|JT!bnLM zD_;$dheyMy_rdcRT%SFw;61aawt;%I|5bq!LwHxuMks^-q>FtgI5FsA>yv{n)}=!M zO@~j070`RZvj%pDD{D!pA(=jf{n2Twu0;@HZ=oH7UY}WwiF@XHMg)&p9dy#$}{9=8_Cx5T*MLpu-S--e@rO3FL z3|Dk3?2kx~z+MNB!d-IVzra2N_SmIZyhC9usbgYa+Yb-AIQ=X@^FJ|Uh<)j}Fz906 zlBWk<+?qPn%d3MvGqXlitP75&_?h4lSG=eH9x_(LHJDZSU04CB@W*gR-ql!}U5j3d z?QaXW%ln{Xd>~-^c(8b!)>HhzA$Pl~0+MtVl27DfLvGwnQE>`a~a;Uwhi>k1`PLojs@rk=3wbn+Y!JcBc9n#8)W$a5InmG@>|7X<&5;1^dHDa&)_ z+}nn={#RuJKM2)gt>O7H5e7>&)O{4c7ppaOo zTlH?+NOnmxHj`L5vCI59#w~}p9@YhE0g}c@U09gI)}IX%Yi^q6UNOA&p_ONX?GLt* zy*%q0nHOL$;MTpmw)_R}lVN{|slXIV(M*S1dII}H%!9BW;p?mZlT!gD{9&SDx1yr% znH7Y1JPl|_X2KrAn}dH&@QZc9ZJPVwp{^TL-+)J59of66bQJ7y z9S2{WJ+H(5wrkTpij})3j70%eN_}%e4T$wYUk#iB59D3J-CGnWEeL+GZ?;?aDL%3E zf&GL#6xOXr^_&h5&ioL(nUBaPN%$LvwJ@GETw-tZkAwbcW(6(QP%G%tp2e5PWmpou zEQzru&#po5S;+}i)D!lS91c6`(?S8UpHf%DzBD`zcTI)8TY^* zqJ^*`RS>I!N8B4tzlB?~UolNP!N{or@y@X79~5-4@B5WeBz$W)8TN(lj8H)ADL5zS zVjm_`f-bgxY0$;e)tTv4y?RAf!Wq|u0%Gg4f-bgxOVGvE=LKDC{qCUOy&qR(XDkRA zV(SkEU2J`^b=Hd5AASC1onDG-c-Its7<6%(0?q&WkRjG#q6JttpljoSu!d_m%v+jQ zu`mBuz<%Of3+rL7#vTlQ@j+;+=VRE9#_!;Y&*y&o7a2ofe@QSF?wBjR819j|Irtxi zuh05^1FIvXAP#_a6A_Prc}pD-=To&(Ixb|0>oKXorLY&{m9U0g`nAC?UY7NtgNg=* zW!5N)&%)Eh>o>vk7~EFpzf8+X{5G2|X)G$5mRTNgdT`^L1NY}$0j+>NTK~xYI`nrl ztE~7!GzBr{fTI2}6%u|C{g7)qi1T03sDbN4 zfmyJ06_^7b2RHHhAMDZk0rvW6J-BF0T!UA2ZUp<|*EXzpV6ddX#jbPXAzjmNTC1MEFmK5t-ih{814o98qhR$wy&nr- z2CMh4!+t9M2X4q^+a6q0Dn2vomj+$z&vdh4*Hc+Y!aaK#_GR)t*muJpVLgP@gC0YR z!Uw_qvVI8+rk+iMJ$*Ca^U>9TuVCHRRnMNo(r3WxSL6qf&`MUujj(?l_Cer5hZKwJ za2RW9Y*c2AmRJ{gHFh`rcivU!)ZshXF?Yk ze@PXaRamUg_zJ#H@brZhoIL$uO|?8X!y|cb=Jjsyi**pn|6jOAi6@W>Y&)uGSnTJ+ zj<8qvUURoRuJ!0jJ3f*6q1pvLjN+=|JlIR&QrLIhIk0E{b{K2wpIC>cVq6k*u`g6F z!kc8zs;Z}Y$5FzSzFEykm9EaL4vH1Kf?F3nVn1SP_b3ADpT|ID#X6u>c6iq760^pY zp{U1&46zT>N!F=Itb(d&iuKH%xvA(r#czY%u{(o*KAimeiy`kJ!CR{IgQhD9*JjQf3o`q_Nx97rk+xr z{x_z|3RJ9PM}ck#d-^)SuCx0X?l|6N{c~YeWL8CDT`5%2q~HbXAa>7SfZLPMmCpS5Igxq=mpf|w82XU|d?DNWfL*w4i;VC0g@tjnyjVx69< zf3stXi`mw&?%1h-68@rlINX5V%Dl8dh6gZIiN$1>)QB4=F;)SZ|3d^`{v#VV@bUiPlh#$i-Ruqw7wVg zHL%uSNef2_pJ*G5D=MwYERWcMb_gD^kKtZH?+tr88e#vr`vXsCsh{UR&+M8UL>pK) zEcKv1Jj=zNzfOU>z+T}!f?vD~bu{xjD(Hi0*li7ichLS*W2Y1mCH7XF7j*H^TtkS_n8yXraTOBo*|@+b!tU9rp@4XwTkOh3 zYoRI9()j8JFHO_7@E*J?h+*)-nSTlo%)A2j$IB1l`mERfr08TFTwMpue;X1y1JuAa zHqmhV%nCxRb6%cq!P6bCLzAaBtXsM~mEf74Sst-=iaa+<*Z$K_c;*C?xL-EC1p9)z zI(y_dt5UJP4_Bq@gU2k7SZ9VjtxwJ)fnKT037Nz;Z5>SQgGX#nL-2GD9HE$(7<4 z6F#$vOtDw{4yP3RxHC+4Nfn5D@veYI!Ma0;j}0ENA7Ce0r{VE%3R>s?1QJJ5P*Fc_ zQ)c(zX>=W>YTy;v4Sxf>;SDAf!HIp~)CFDafHs4*{?Y<$OCmjy2&e>w@=ezEJhGw5Pps(uNldbE(&1e4g6ehBCFR{9bifLGJ8$DYO44*g)Hl7?IC zTVW%-JDU9G29MbFpAWbEKILU3d``^{1;j4!GuY3u<*=XV-@{s;YHahqML=Sg-4Z@1 zd&aF4&0vhQ`BFD z0%AAtZP3L!)z!=Tz1p^XeL4b0NQ!W+g(yti0ZZLA{rC2LmJsT1{ z!-7ZbL-!QeAI>id{!99`tu#_cs+2^_weUtb=|nO+vw9=;m0=O=dHt3B6cXzarr`b< zJTC>0SofLq=c(Qbrgwr#?A5;c-fdf+KU>4r4~D5HbwI4C(NG@=`}uZ!@Q8KolxK$Y zbdk!5?RZzzcewyPz9+MKFZK!eH0<6lv!AkJ*YjrZtPUQrr?2u&Fnu3PVxI?F_OBkF z3T|iE+x1Y`3-Cyo>@*T$JxAm}Dd=KfN6)G1)$?Bouj)%(fF4iFtlo?Lu(=u5NT`8F z?9Z%#nt1&d-Rs~L*bm>;_EYET{+$1KOKLzFK5#w^rnRtEuPXgE=-K<=?lV`4wPABM}+(ah^t!LvBCf)iJ~ z8sD^u0%F|>RQPS!Q}gfO5qs`Gh5exVA$Y_-lI!*@M#xMM%s&}=W;gNL$)?P{@^wM? zNDQ!t9+=e|u})0Yb5ih(&n%DFr{R2fbD#eYk?`C+k_*&Pk=VQ755e<%@QB@k)v$wp zFL=bAs81tWvtO}OYAPiBVYof)Aa)2Qv2RCv1yBFr5qpIX4W8k_Blff&4r}Vu7pWJA z0+)sYVh40N?1#sktZRhk!oI&QhrJG7gUK$*Bi691_nQqUbhy%TT6H7CjqMst;(c@B z2|*XT#}@=$?9sXr_T%`L;1Rc+|M!vj|75)noRwq#|9{VP<}{K_31Mi;Ib&j~QDM-Z zyHqBMiIG8=h{8ljLY$E>2q8|$N}Dw(vKzI85IZRH(c0J$T3SL@yF&DRzV36q&wV|9 zzaEe2JYVnYef|G?-{(H(*eG4>If-r8S_$`Yh4_!>Bkt<#9S6iU6Wh2c_v~UT^kHy! z&3`q^sh*SAoX&@>NvFV8o2xv3u}#q%J-gTrEKhiLvH547^8&|$lC)*9paoaXt6 zE#r$lpG!R-aW|LF-2++wZ56xUa}sOhDzit-PVE=MnnEgQi)RT=Pq1C7JOi7LxHm$rveC1Pt=azzTOqc4K4KfxZNvOHVEuPOgG*dR;wou1Y)7cU z76H51PB^D~c5yGlRk&u@2IHfikGPZbSr*x;zxr9ijzoWt0?|A_pmRA-UNz*w1y)%VJ5l9$kA^T#gM&ZgSm@7cxHa({qx)1Ll+)SSqm#j!FI z7n6uGTjKeMZA>hMt@eM1t@i89pSWV{`>meOkMbe^K$%F`I$v;1NpuTIHRuLgqA3_t zW|J)f35l(6*TJ@V+zQ*7;1Ss3 zE_AGlJqFu;@fwUNs(={(+>O*X5H{D}H782C7FHq!@Ot=QST!pg8fR7nV~P?LTdVBn z`5fT+h^^3v4b8*}ReMh2`$$w>@D6O(dppgC0tLfz8LMz&4LTLBhqG(_sPufq!<^5h z=A#>_Q#>cJ)!Zg~6zdHL&GB64t~}(&J$p zR+Bw{W2Gs!*?)`YGb_irqlAQw=f};748%4*7r{2%p7r=8*sfdOhKUvxdNr&IE)`+} zY>i($!THE<0{7%?&q)(tQ+@z!i{DAGHQ?!9WU(DX#=&;peb9W!c%EYwLTnxPmicHY zkx=uhhu*OOBCLhgyipC{3t=_;@QLN&CA`Z2S=d@=IgBY#Va1(zmCswAU2Ohq;OHSX zIlYUpZQ~O!g7{d%m2vul_)he}Fs7)fD;=w#V!NXqdOqS5{_+_Q9|x0WCV0x6Fo@Mp zD$qxsU3`$U7hD(@A_?23nTBzRYA^2N{7*GI8P_{jA;g`ul&fYJdQOdSF*Z3(f$xRo zv&%(s)3t{&MVX0h7Ig9KVr%OC;6nUWvHf9N;VUv;gbLV}k%4BX5Fu>iU?glaF-3(FTem(6+YxlR^ND^kOu}~A7hQl_Kzsj#wCp|GYrOR zIk7TR+yh~oDF=K0m5t@u4Ks@N$v;Wi#|!KSs}M@8KWqh=;Q37Sd?v#-P?y2BUAzO6 zL{!-S!FJNy0T0O8Gr^IQ;wBT@psI(h`6ieTsZE3vu5gWT8dgp3G9SmbU_F4{THq1$ zA)SSALW5AXY(ZE;Ys`s+#Fo$puqE`d`4D%bW2GZL*%heo#c{>NHt+_*mgcb;ga%JB zf5*YrM71!cXpV~&t_5rVug8^FiRkQH~ z*m>m+*p|@wur=M27MVC=6{1=cpNLLU#eA^$*4d;-GOfsdo6YC7utL(p_2y5Q*iPB^JD=!&#yrnSY@_!v z&n~tlb}4KHeZhPv&iAptwpFG3Cnn<1yCEYHE%PlJM!wkRp#T^D)2Y#Hqbuve8kpTn_*k5 zzLSrw{}L9k4Yr#7CMW(C^Cz}Dd3#NZ?P8mXm9PpV|K6}2I)|7)6&niMw=}&R~#rRFRiuGSjp<2!)z%sbaa}wLy z@BnNHJ>>a_EuF_t8n79E8O4BNB1+1Y>|s$4KDd~_k2>YbwMRO%K2OcTT4tgf5N6`5Vp483fpwL z$3;+L_rZ2{d&b$-j7wo&0{Mt-{dnH9ixn>aSIu6*BIY=wrjXEaTebPXb6W3MK4QBs zxy|!2mXBB!P&&Vvk7FBj?XHVkwEcCg|Aa^VfyiH8_wbx59V-K|jq2mghxp<`UX}4E z*fy9`&4;-4uyx;9xH9sm|C`MTgV;8khhgiE7V{w?u_d$!u67x3G9R?q`ui)`X3ck= zkN8OPkNV~y79#7vp6*auOqkeirk-VX3en(Lts>U4t=ivYK8|f{&B1Pkc+m6NO~NvM zWZ7GH7kyKZS%^)2t;AQtw)5@qB8u%2twU4%rKSC0)m()i1lu0c0L!+3zlpF7=o?^6 z(E>Iz$GIDm5{jT&&GMX#RXDNT@tW`X7|Tbj@t|~`@O&0ImXFxhl-HW9mISOKz)m!4 z;VWE1!>*4@T?5;A7ztZK=fax*s__z-m#CIv^Ir;&!LGu!o8f%4C$x7$r4#2yD+fk% zB7)d1GEaf+Y&QwElg9J-fat&+=)xvhW{Q~nLu2^G8 zX_YWy&qUz|LC2DUNrj_3a#OcH?#D?W}_6==U3 z<8)FmCO#}cDI}~!74QWF%;4`XSa-M-c@u0k+X~wn{~Jtjl$ltW%D?EQ*eLRPTD&ScpuEH&at()G2t+iIe1V;rDTgK}>yEx}h|Nj$FNCcJo zW7w__HhU4ocD(-8>=bARtf{ENb-pbbM`7C$pN4IGtcESF*b0<+7txClW$+tp$LPJAPQg(!em!}cV>*UhZ|))e1b1RTXS!hiAXVyjKzE%E%`1twacFtK$<2aosge8he5 zU6A0vVapDlSG0R!W)|;hY73pg-(px{YPM(Wy-rHM6jmmx$qIM`EdQe6B_S_S0mLa- zaeKhF2vwO6agCLZm@`H0?dCD&L}al&fOny17i$?+2DiXA(&xf99{ybiM zXFkzZ?1U0+58GyOh!>%!$Gx4uR?#ZQiYr#DsFs5~pV0FW>trOKGhmjYT+PNJG~JZY zMA$B=uY+x{UJpn9{3o^});zNl*I4O@EuBAmK1&_rlL;j7jY_n^oX}$Hr_VgQSOroI zHo;biot}?a%`TsUnz$=Fz?gz!{=|jkAN6+$q7%ji{B?(IpbfSNlyInH)l6)M)+X3y z%}lt5OJ^}$>G(q!j4~D<;_Qjch&Uht+o;~dvx{vd>>8p4ltt|A|hh24WpP zRD%~_yGgOmB48IE#H%v+6}E%t-Xr4ziBEApjhYS0$8vC_eO zh+6_%fjYywzEpvR!FIWJKFmPQZN--&2oH++6Wi!*f^G4+!SfN@EV#q$WIP+TjOW0% zJpb8zNMCGs(_b6q9ye0PZxEoGsidv&k-RS8ui%8Za1H!0r83YDR*r||uOG5J-}~{( ziJniR`4sT9jAx%>{uu(MAxJ0Cm&MkwN2@UEf?D`MSOvP=_Hpb}JfG=sne&TRpLVyFhr9&JL|n-00{+^=*1Y?BK4SCf zYId#vWlksqvF%{J&51vlxHF27tFhQly!XQ?{8hLYJs+_R-j#4a=d%q~Uh?0O@gnSi3thnP zuq`b6-WE5t*lO7ow!)=hWu}BHV9RVQY|HkgFu_rUr@(6IX#Kyz3z+FxEg|lUlbUOp z=d&EPCS3vRB1Jy$!ghV|spr4ZvClV#&x98La#j|pylatsI-4C{9xF2l&eYEpe z-2Si?D1;Al1-im~&|+IxX2Q9G(*JW1Hew(3B8b)0s@W4}C$mLxF*X%+Dcs%pd;nYF zJ~n^C#N&Bgz~A88bCpzlasKw))d*XYZ}6PNa#rNqJiA!UDEku6F4meM`}>|<+{xMh z<=Hb5oWr9CyWSC(PF&{fhnSrjSHjlRRj}gD;BO3Ur<&_wJHp=!+boz1TOpo+Y2DoV z|1^R$0@YMJfLGOE3tZ^sg5?C`x-BbzNpc`#IgpIzFOELAa zG8^Lsh?VgS{_0^X^@Xt2W|HSGzRsodjb|69oPGD%abBHa%t5i%UkN+kmBBhPt3Zdt zHYNsH1Zoq)wo0Dp*=rrE#$sKDs{)hE$FXLX(r>~Zt^b-r62%x5xfwnPR^+F>$l`9; z6nQOd34LKc#N7lZ2v<6rosZJ_6)tpfe}i2mGr=Br#Wx2>!T1C!h}gR3B+o8ZLQ1p_ z?#=50{%-Jm#7aZ<+nm4ncG%7hb0dGP{{%?rupp=BV9WT0$O#{6vjUcH0lW&fE1QpC z8y{QXJ}#XD?v59no-pPpFY#dKb8*HCxEL;T0e5(Iu`Mk3!pA$Gjj(M(U&FTkf9u(Q zf^m;B7Mp*;Jvn>yjG%-Kw015)H5J=2d?;+o=gHSgWmk zuDZw8|D4D-`*k?=#HQ|e61FM#6l^o)d6$rU-iCRJYALoGP@6rwSe26h*1Vnmm#_%C z&508d+f~cHW~T6K8!he6f+S6oXPYOThsy%iIQcz{g7$ugJ<+sMDsOGs?paVxBGphVyBe8jeC zt@ixiGavQ8geCNW1z;DO{hzSSh~l|%Ga9S=#JX)egTF!MW_LC zK?#ZNg5i4DBHsYpNokJr*UD(DxMGX@q8ImNFYeknZYGdWi4=JgtaF)auno2uvHSh; zyQbx^Hm3#rje>7>ybcDV%7}|$rMUsN3)%lVyW;)^@2UAG(dmJB>FopCf^!&b(`g8d zYm~uIH~}kz8rV)j7sHsMH1C6Lb9x;vboRf&w%V@EAg~82?OND2hK>)$cCl@>DcHtD z56?$jPZ~4$yWX>lZOy+8wmsqwSb0W|XWi!o-0uR^Dvx;fg`RzpXMftWFN28|wa{{B zR|~C#yJA=2J~f{lXY$SR836+^D&wy6;@XStzWxz#q4PNswp}sw{Kb8p&*iXnL0ZS-#}9(ff&)$=*-AB)COjPRyFhID?+qthx%TsX z#MYx_W~b4_@>c_R z!=7I(+as(=OJKVxa)1|Etc>;R5huV_%ac7Hv1M?oXBV6OjM$zDBrM?UI3N(4{anv3 zHv0t6E;jo`o?UGAOFX+cVTEvp;TjGG63ZV@Pc4)Z3oVYRA#DOcE(q|$%V!joJD@DtnSu}8)W*aKDsWwwvmNx2l(_|!NogSFwyr!Q|*QUqw{vwZ3)Y) zCLh4z&5LllXBV6Q1kWzE46gF*V)MVrvx_@v-%x+d^#a5e;Ze^nwhWeecCqd5uX=W| z`LFZrVoN{snHM0o2tRsuu|){#NS_i}hwp+lwst$kdy{{+Q{u1cD1!-)3MaNxW;JXX z9OwCn%kWoMUI?pF;>%zaRGjhr#nB*D|KI8bh;2GO2!L3GU~( z%b2(V;xcEiHajgLR{l}5NZ7)1JZy=M@*>naRx^r^CGHIVvgYI13imj6OXo?C7svkm zMl(VYl+eqt?ZmIePJ!5b{_gpF==q4v=Nr%Gd(TH~g)2B!c~SobWL$*cCF<{$yyvFD zl3?Xp3pa>Iuq)5}eb7v2UkR^t_OTonRcKY90k;001Y7Mdu_GldHyPG=QY$uR5QnBJrK6Y^B1dCRLdo>?V`_mKFjBGlTS^grhDECSOMEb(`wk7@g2`+t>?4R z^ZDHK*#sB6LTrK0ba{<<#9EI2m#{t?0}sMUU2rd~T8jUakC0<~sB5X&sim=MELO&< z*b2`_Yzt8UMz(5Chr0#pMzt%dFE_`XHJE>>nL zT;XZ)cUqOgHg3}}!9g*9V(ZZ!u&qaZ;a-F<&?Fe=MG$vY0Dn!e72*cZM{KipHf-bY zVb4cwN5n^Ad&*@6Yz2KCR{l{*C9I@x!iBC7Z^L$``~Wt8vDPUS^h?h!wv~LB)8lk@ zg>5!>f?Ya%5EY>=P(tN#K*YAz^z$Mg?fHnUwNCf!Vyor3o?UF)$*qx{`m3KLtd{qB z5yaNi3p~5n{1ZGdgser9$??_%8ovPZ68VU8GmHLz32`a`a@zCE_yV;Y#uP;m+jOdg)k5+g=-G$DR=AU38`S5+ zxJK!St#DVsZ8ZOs&@|6UY)&`J$@$#s`H0Que$Qu~=Oea5^n0-N{SMD3BVh^cdRClS z2bg@LQj5)Jf6u4P^AVd*Z_lTX=OZ?s!8v>GB1pmlYGJGK$vLN}5MuK=$MZSQ^AVfR z6wl{M&qr)icg9)XlWsQ>U~~O$7g+=9Uf4$RL!Ny;YzaLHN3B)C{W92Q(-Qb_0%q{{ zrRTHReDtLA7KGj+l~cR3{=)jv`5%`G~+!du`M!_VXfOL zb=LVWfWJ3?$A!Gg{sZ<-j@yij$5Rr<9E~UO5q1$YzzY!DWxxoy*d;XD^AQhq_IqJF z9kqBqV%zWkY<604sbh8P(hMR^LaNz^uv$VjIP{#jq++XCU)X9k47Qn4%0jzx_x(K?-oG4MVW0g+K87(T@v!2g#*hcsYSedB;|MYw|cs?6p8&5xZKHJZ6 zU#zIi5Mk&Nsy;W~zX!vZgJS-~mAopmNwC#^vgae#Oj*F+vz}e7G!*wmSaFN>r1MJW zq(X?TJN^aRLxR7+y@{YidyJ0@*9Y$I>~*kRG@S?A+AtZ$C8~h9%K6WS^XvZ~JtuJw z=d>EO_j|wce8g6p@6ApHw!+pm+hBX7k6{^A7BcXd(y4^{E~2j3%DJ&$V*_%QAx#i4tT?}i;G;` zw_!UId=6U|d<$FG{0>{8cbkxjGuUlHoKPp&_WLfd6+&$1yTd&DmK)wh)ErpJk%Q>{7SQ|I|y(#@tjsURtDlRL{J9%J{Bib3cG}Y6g<)S%<_E1<}(Mj!|KAwhx#i} z!UoIJQ2_P|Zr?aoA;b+XgOV0k2(;LaS;NeZe+`@3F64CqfA2ibO)#5_AA3$>bNUq4lB@`cC*m52A9VJI z&8`~5*6a&myQJUf`4|^y{g<#!rTvq+!J^DM!?ynSfH6g5q7t?Ys$iSC$HLYs_2y3; zv32Em&*uvHX#Q!SO>+^VW`{>(pTXao&Mu$To{w1jf_%O*AIG+EeT&^z%r=YS3M3pW zuZ%=jm(YQpQwlD|E~iTPpU$W4;y9sV$MO-6a6Y3wpE}sm84Is*K0Tg_x;VG~AA-Oh z$Z`2qx#d=$`w*95E97rItnlvqZG<(C#ali5b{JEXj#$Sp`4nEAJNYPn0#3^*d}82(F1+s2JAyBg$Z2VJ<*TQ_a3^%^1Q~pdsFVFSbGq zcRq?!16$vZHh&6K2M-}!Y2D}f&&?oI((e5I$s#zmj9Xj;`8?r8UIc5?l6{$H|0}E$ zl7MC(kZc8j8CwTO3d0*(ZB;v30>!o?UE( zxEZ#tY=*7SvtetYyJ5EeOqB5>2=b4bORO`23be%Rw6}N!HYM;gtOKfi+Fuy2bfvHs z9N7Q`Y*dlL%F-3(FTa#|{?BY)NsBp=P;xvn4Oi^614cJbw z#$R**>5S+Dt02Q+Yr4@MkA=0_D1$3t+hA^lF+~}OE$(d3F18lBKelHA3B4z*M4y3m z+f@8|>=d!pc#UUY>sV{PxSV*Z!2e)vREqO6Y@1oo7_}XbWr!{TIeH%0O%bEtnMB#WpZYVC$xiu=$t5xh`P* zmmzGy>Fq_Rf;*9!N;(AQC2G>4u#V>{;JvU7*vDb(?`L7lY$a?{?k(85_&vD9*8k5C z7WrF`f0h#&sSvwd9Q*9)aW~j9tAuT{830?wM#5Im2H0j?W*WjWo(bDB`7lhLQB#Yp z3tBw8*aqfe&o0)TbQSt}SS_V4UI9m|9{fH`*W~X1uS3|f`X4XCHrQ&m6DD4iP;f~+ zh*GfG#WolRcy_T>U^r|As=0*qU(XMyq~{P|^YVPpX(DVRb{1@zi7m57J-gU4dkVJ9 zmcTX+o;SPte+8lwuPVelE?;{v5T z?g?is(f$Y<2Q{#j^d#8M{HMUSAzcbv-%o|D0?jaaMuiqz;qHK~C1%5JFmnILiy*dv z^NeQ~+rIt=Yzei(x&%{e{R_6k>aVc1&<@xNT5xGxIB`3j{}g#wFQC0+Evw>6!j)mQ z=Oeb(8tQSa=Oec9ROi`kg;n|^Gc32ZZl7#RF9CJ%!7k(L%#IdYqBA`EQtwP>nJEKt zH6s{(o;%826m5coFUx-aWzk-l%FA+z$U&?`a0vRrHrGZuABEL={$lM=^1lMMLQaM6 z#iqjSdU<>>=m5)C_7ZrAokmYW*eE>Pi*OFy31>x`0$W?&49lhh-2zYIRf+x&<|VR= z1*nH-~!=2A3uq~DUhA~GOi#692=Qr4P zpTrfh|L$;*77OaS>*&U8mpxN+=yox`Cd5L_)@|XQH*p|(rsd2{bVS*!n zu@z_!Sl{~*1$0Jei&A2hu&q)@!yXwwaNf7PnS0tX5Op64)x%5w^Xz2W*STVUZ8*uSka@ zX7F0b-*Q;5vOn)wiLQW0@+vOoR+ss-b1Zv%_)zCF06x<3R2YoXoaq)3wc^YwL^lGI z*rTwG@a3?b9#*>uD$tbdP2UvV(^j|X+Wak%{o&`L(rne1qITKEzZ7*@cGi}n{R;|8 zmreh+sB1yt#H*J*^j%R^LE+M?AA9%vqJqM$S7+bbTJ&;3^|HHuD0(%~R#&2JerS^& z{Y%l%?AAS#$!yurMIEv)wM}-)W_~F;AiH~qWczH>c9Q?SEumYt7Ij><`%gu07Pi%8 zR-2tkMLjBe)Xzn`XEzolch9bEn=FZZmyPx*^MM?+mb`wZ$&S))nUKQ^SkC^9J7}Tia%ut3wS1lu>Tu8JV2DRbNi(QoN z7}RdNUg``7Vo9F!bU&^fznMY4vL ztgA>~&&vlD$?0m)x*o|Z+W^@$mC3UU=UkTU)ic>$uP60PHWt2dS$1X5GPAc4XMYdz_YJ?4YuK0g*G|no)i>E( zc+-{H>VC=NyOc74wH(!PIN9$_X51T^Vf!4OeXw8h(mhJ)xb!gp|5%!O+V zX|1z=x$s{J%!OBHM-NPPEvU|3%FAF4pTo3Fx_{1_?IGu_(G*%BuP&TrC>LImeNU+s zXMf3+^(jLa&Fqij{5(FXSp)Uh0nYu+b2v8)Ih#0 zO!i{vJ`yIoYQ(;Z)DZknn7n$w!fi#_i^nDp*d@5>_dy#OJE`owW0PHXsko1k!u8kk z?2BWQ2PP9BBk9Yr4Dz*iXWN~bEHA7%CEG`@uV0cqU9Z1glAXb8@}cY71WgmOPo0VH z{$FSRPhqF-nf*nta}UdQKa1Cj{@LU7x^ZCkGQG|olzl+22ad_Us@I?EvtR4=htsm1 z&Q6vW1!pCLrtE;TlLrvHg_IQ1Ud+A z&c4`??Ao!CDrw9V;6I$#itM)y$=)41lSmku3xjKUEzb5FM{kGOQR9-m3re%sj!Ql~ zcUyzO-k?s@}1s9=bK9{S4!mmXy{YN@F z?kjvYdTdpB_z&Vs&sTU;u0uLf>F3bPt9K4+qDd70ZS>^+spy0z|4-Wl*%!`BcFb&A zlZwuoGH%1EbyV(+Xz3&kXg@$8y&rn{t?B3!Rnmu|XSb%JryryTqL+Au7>9nz(~aoH z`Kf3VkpC?SO15PG>)wg;i<44rB%Rk9Gm~0icVwFucK>g($UdQdOiBN>_g`# zyJj|5mxs@}XoYMn_SpxybwpP^k;*q}3SIGeDtcc^;YXvl|DFzCbn%Zxhog5!9|%&T zjX^JY#TvCu(15l_R}}xsB1&ryJa(e%Z^NNAJ8A;u8e5f$4*K$2j(OGdac91UuA7hw z%UtKJMXye#^X>Qry5Wjc*xiNyj1F7V(bI=YuMI0)``PK}YZ9dQL_bA28F*1=S0;n( zHxuaGhHa@(b5u?vaI)tvRaVxbpyc*cc(Kc53VO-vRCEa@|Lf7Ve0M5L1h=BQj7Wux zoc{uJ>gsg##V_)I2E8s-5ld+JKWjP*md*`4>7EzH`t<3aJ+V zj}m27!WxtWKkO`EGh8w_6@4kV;_rdJWOdvl)#&v%rlNZ^3LlBy&=R-Y8Ev`Wv9fP@ zIL~F+h(pUsJM&F71wD5r z`c3qz(W&q$=f9!tffzQYLb@<=*n~raH?g;(J7*VPknEjVH!+p(;uG2Bx9(RGz7j=C z1mn5z#O6>DvA&?g9=OGWn_LDfjJ^T2TIr4#%=KxC}aT!*6Ex_6r&6(@#!?ue)@r zF>f1^3U#TeWa`oDGV##87JcyNaR=Ux)|X;m<@_H&H>Gn=>L~tG=%KfyqDKg&SEE<; zE)Q>W{vYlVWDmJ0*>T+TMDF5EL+@K9$^i$TXcYZ~ZvTJjPzEK^j$3`JXZ$`$M>W-L zcl6LVQ{glhz7KlaUa9CiujHRbFU>x55vMG@X@pmx8F4J;iks5;vR#axmi-vF%tlh{ z;ZnO7^H^3v#Zztz(e-b0Fo`q^AbKLDv#xjxz38-fh^|AgYn#re_YHdT@#*N(pDJA& zj(Gi-r}AC9+pdiHl0T-R=YZtUU3W>wrouX2qz9q><{5#Wc0lfnYSnzFqZh7WFLGTy z0o|oG?$evllYdJ^CuOB~7kc`pbbbsh+%R z>v8Gmq^@Mr=v7~(!oR!l-sssUr=wff3fE1%ni1*fy>#h%bo)#yJjVH7vs;jT@?zFx z`-*T?_5qw$(4B)_cekKZ$=vVSDUlWE{++q)8HFc;H_+RPa^Huq@DI_G87{i-BE1=1 z^-Vf#a5~_kVx@Nq*rP)*Bv{p@JUqHhEmXFZ2?YOq&pgqf#z_<)Phj-&MQmGP+A8?7H3UBH^H1iPb)D|63& zO7}(g-;jra(w~419*;-uRDEmo)?qsb>FqMjGrhG@RhJI` z;Ck|IbUeHg!Tso#sp)W@3tx<0c}KjvzK%|G&AsQVk+DJXUr9ypV5vY~qwClV2f70N zl)%s>+w)R}b;X-;j~_yT)-ytMwWoLk(Q`Py^>Ml$eU-P1PehNsA{{on@N3ZPSV5T` zQT&%vVApsn{;P%RZS}T{aN|H*tPA z&5i9nM_{NpC->oMm8c#)C41)O+-oRhDen`-O9b~|UeDaFap^pQE@cJl?)0;YHawn` zYtSQ$%fp{tORq;)jf>A;ThOi9kI5#p?yhvW)GaCda38n!mfR=oRhkrf({JhMC)J}4 zM6c|dirznvJ`UaG&#CB^uk;x7YA%;TSFB0s=GWo@G8MgQL@M7u_wB(5+(5Z($Uln1 zWX^B7JvR|Nhfc9$Sckre?tF6Y>k*aVKhX0ySK5yBHF`GJqMQzy+J(XI=q>fB=qnfH zzZ-k&+ScC(>HDxW^~*X_^TzCNQ@F;h{aZReu!i9`@5lIzG6r4dEn*GmQcq7tFTFnZ z?78x}2Hhn)=?boKHy5V!!{aH;owE;N&TM9Z=;oT~1I+gOZHoOldNaMb$%X%np8wzY zs8Y;ZoC&(kdcPE{4-5TYOJ z-19(cFuKI8(TQL+4h>v#mbnO@q8qaxPo<%@k4uGPoq1O_rS?N|zmlNo*9|?tDfcZ6 zDrZl06IorgN1>!j&%m6?;+qmUrTcUWY?f z_Vz22y}OOSF>aq$%olsM3sv*FCj$Tus<{r!RVFyL5Pw%kDVzc<-EZ zI=abQPbVonJ8BxOVz*p%pq`6a&zBE#$vlqMmsyT-`Ze^#ARRv87K3-tTTjY8%^eLl z^t4?{!X1%+BKQWqZQtDEBx}{-e=bcZn}aEnFx*R>Zwj{jA#hd9-z(f3fB}!N zY<)d$@s0@$HQ6;+(-T{~g4bg%r5qJ5ovG3Xr1MvHx1(2aqOk>N0earM>FCiMwd|jz zS-{w3qWS+Gx`h!p-05!=pEIU~@7R~Mx+y#98n(TPUb!DLQ#yxG@y0`Qk94Sm4oA0a zp}}2!)iBe`(&1{CZauomyHj>0x`Yc1%V#cnNA_E?89b6Jy`x;Tw=h?;P1ywc6kRuf zQvk;R72`*=zEteA$UhPMhUOOWbXv`QwIptdpsJMd%1x5r8TJ~#N1->J5zn&`==S5{ z)#?=VMlOU8cg46u@o$cogWJ(lx~9W6ZW=v?o_K!T3x6$T9zIdGGk?9+ii3S_OXXfK z%~5}>%is(294;_*zEc6WphsqVUdw&tI__EI%mqO|=EIJS@qu{&dK21K`(x4eX-?Jq z6m-8?>HH?!fYxtb4tCj2MIW1e@mlT!EdM&4Uz}SoucLIvLUdU!#|^D6%I;mH02ZWoCSl*_L-fW*r@$QT9WZatO6-jzl+l_YF>v|LFK) zu;Bn!g_h~5=(i-*ij6qboLL^;<+|Z&bjif{M#7EgsVx4?$*4ej8)jWyylE{#Z#a!F zRB@B`Wpo8+jdE9^|5JE6z7YKGfWDlH-8(#5-?!s1jRa0{5sJDpj$VzA51pj5qcSwy zCeEI;M>J|{FfY}+gON@IXUMHhI)A5f0=kA|8l3-C=*kOn-(aMA--52L&HWaJ^u3Bt z`V*Z0lW2X3;3F>m=ehtMtJeLkD4s!K83tf3t#z}{6 z%r5UxRf?`>5w+$y9KDFytM^GY8wa56cSV%`@#rm#GaDzTccXpBzm*CXx`K^Yg6xmS zxdKc#5gDuUp<#GW({(lM8b7{|vq2 z;Z%MT+J>&<5H{K+)2=&*9d>t{pogIChkKOmV00C`EW2^EOxB?r7Nx?+Trtn>j@f=Q zTNR%qhwQa8lD$W7J1p(SZ&9!e^P<+YyW7M)WAsYS`Wgp1>wk#e!r`vka8xTh%QmqMP1`H;b|8S#-<6F8&mB zHK!8R(#ZcBbaVFF8#wx}^4=6$jG4D6F?U-zpu`m_5p2Rd^0sui*`>BaI{U3+H&Hh( zu%o&iks_1q{xd1Ne&mOV6HW2cF^}-Bk1s?A+}EG&!ZYX>y`g;vdgGbg4(FfVC&;2J zN5)OO1YMeah-@--Tz!^B$s~d;nCEk&FpM;}PSZs9u6}k$&t--^;=(J@EB_Kt^dr#? zyie8Ng^xjRqnLC^RE-PKYdJn#P>|DCr&)rBvV^Kl)pd8^F!P#p^sDO9522UtmI{Zv z2#=#%?uiTd0=n*;ID^&bC9lMH>HmSAO##YW`d_2BRs|t4YL{Qq8Gh-?duynWNx1N! zRQ^^=d-SHpbo4o56{rjya$$UiORzUO#lhoTr-z|yyOo5WMVfoX==uxey{{3i2UZA< zF2JT{FwDI(-Ylgzc|Cb2dbKxc=b`C3oHw+7MNi?KRJ#&ye-I<`#PYBuO0X!{3q7(rPOz`SYtnAkvznn7^@(qa zoq@Km+}0uD0(9%w`8yn$U@C?=$CQUJxf0!kUPuPEkN*MP@K(H>K8+sxLn_=^m>Vlt)bp&!v3!c_QZ) zCE@i^ghWt_)(4?&VQ4_VLYL+I3xcWW70v1B*Ap~mZbdIVE|s6m3(@slc2WL-?>tQe z&tj4YIG&Kx-5S8tQqZJ-uw~W zx-}Kv;{2aOhuoLA&FQz$jlELZ@%Xwg68r$emf^hp=4$vYdPydJ$KqFX@f-13a2MLX znKvj-bqVf+-hOc0=RMFp?6Q>R&@1&<>3|=K3|T(RyXQ@*!e7a0#n+d79m(fXR7Aq6T>BItqMwlftbU3ee#&{?VQ zET@N}w@%M};$Ag86}^ay_}no$5j3D{N0f&Pqx6b`E6@usWbJ;#C2$jl(u(*ogNM*9 zoPUa=@I>$odhN0Cd(f|;r@dMp5*)1)?<;(_+!Mko@W0XaeFG}c@90E_c#tMpkzUSt zqp~B0`A3w5AGr+6(c6yWdnjC=ABG;w)wSAO3D%(PD?X&hq9=Zo&cCD6h+aX9+8DV8 zy@(UfR^n^g-;BbQzqBo_%#X|4#Mg=vAbzYe@NDFMp<;&V17M^ePtU^BYPTWYtWr}0+o1eamZ zn-=uCXpanrS(Gq$m@NwSN6+;f4nfy*K%~MHs4y6S-oQFRg`)x>XLoYm( zZ(eXMG7(*OJT2zJXQG?N#j_-HKZXV}$X#X^1`E;loTgT?r_h^t^QqA#@Fu$Y)^z@D z!2d(9Vxh7OzCcg>B!2VyC-f|C8tm@k7tr?2XR@4)gubQkZfo}xn;6R)T8?>cuzueZ%A!|A*$hSCe- zQ*1A^zJfZ}q=`W9yA`uNALm+RBzijUzA)yZQQUwISV#CjT8J7I&IRk3C_E8dk6zs? zZlOESYj?}(OmumGVfKjl@?bH#<;Ya@IR;IWR~6xBB;Ga{P2%cXS!Egy@-Q6d#IVEf*3?DY2$qHQK&&PSdIu-SAT?{3)tYQE)zb zUGKEJlU)>CjUM`GI=tBxXeN3!=Lg;uidyJyw0@P9i>^q|Lyu(Ib|-%fiQsVz?FYmq zd=;%v%HkiL)89eQWmLDg1lFU&-l_0Lr@uoNpO=b01)>()fu7GDxORlALY=tPW4|w} z@B`7adBi43{x}o_)fnuHlI1WIy@ETWwzAcuE4`Z|lcl|5dlS0l<+zWV(JOfiqLVA& zGw9}PQ~5inYtUUeLn{Ah&}_l5`C#r6aVS&)enD6MITgO@5-9FR7jXHTTZq~Od!zML zpL<09iQph~&66BRTo)XT?($@O={6j_kuKTGrFQ~)Q4?SHv$r#xfuY5w1+(IOCE%TE zuRzbaG@XC=;|BElt8!nKqypcM?uY+OriGgP59n=U%ES3C{UzuPUq*+{sDdw|N1o5^ zOWPjb!?2@6D!2aQ2KMY)7x=9N+A8r^7fiGVMyx>cZ~mpg4XIN#6lAbakBG zNc40DVVR46COVNRC=X9_O*R=r`hunDX2)T<-| zOWUUN_nC*I>sX&~k1{+5t;e->MAK$6O|D+HC_ZHF`e=5vd001?!O593hD zZp9^9q@P1i=@CDZvKnpQpeFy1(MxBM%c0_nK|k3es0jw?70t#5O)7RgM((9n{k1uD>arf0OYA z^klAH_lPn`1g+>s@2>a{@_#)Y-ssXx9?43_N{FNaZGt_~E4d5KTPy04OmHxUZG2lE zIvPa%(3KoYt;uW9OS!(!9m9))bI>gprQDm~+(kr>oE?vm8_}~5h~I5_Q2yVf!?v!4 zpG227rQL-L`~N#K+>{C*&Xp+m60J{5t3WydeUIMAAS`#`yYP0BzWVK6r%TbR?~N%>l5<2fYVShpE8@$X zeiU8G8!D$ey&SEd1l;QMtLO^ulJRyz6#qlCzM}vcRp@i{7Q#~@&y>{vEgQk&y`t&gLmWJG{>BQ1K5kB;AZzYg7yitmuzi7wlrYg}r5I`k zmFFK3cmv(sBYw*8@94_?z_!6H@NVZ(DuW(3V#pX|GjkX>;7c;ToEoV4?l1bzC#y>@j8$k$fZo$@ZH z4@56xrKEh(N_hl&B7?BAORo;Skvk-NJAF2K{O(+A&U1zf*5-A5d z5oFPuhUdOhMtUK7uD5r*h~9pA?#t~|q4&`(Jij=}rS~Peb78!FZ$~r!;#(-YvIdp} zxp`Vb2HnuBe~eE&z0lK_$9G5$L$|WsveQ!I!r&P6ip}wL!ASIWrZHFHQH4%L>suJV zce)WhB+)w_L^on6<;0S94tJr;yaGLn-rg&ID(*#e-KE*@<|X&dyag9?>;6&~_akY} zhvOoh2);&-_4bYJ=!ra2oZE;JbTwC9@5ImIsu{NKSrVQVO=%hfy`Fb{Y~SgHUctsU zC5U<_6AZ#o*&}}QVK{o@vAMgPTBJ@!59L!MrBQ^UU;=t9$GKyjz6CwpJ5fJ~u0ADx z2JtWGnFp7LPdoosbih{6en;!FkNg9}%3dr|Ziak=ZqH{79*G=SWzn-ZPul6K-C%ZF z@4_~Pwl81Q_&F54wy8Y-{`Ar4R^or*(mMrRd0p=34%5E4Jy`g@}5ynSX6dO45!r?}`)7mh$5?{!fF zdJFGOaK9?Ik4(Z~UlFDnOhu3H$2CG>t_Ihmr!c6lAwx~!Tha4)J7@n$7X=H^Yk5N~ z*F}lod9;0vth(eabgTP_n+miJJ?pA;c(?5%Utvga^CFi)QLq)g=+jhK<}%oho;ExP zkx`A?aZX$QCq8mjkSpNc=$WUc!hbpc3iM>}fis=!=G~ai-&vo44!V+F#wB<;hSnG3 z46a7ctcf4RzZ1Q2aXNqCeFR;@ZC~z9Mt%GwdOdGvzU=gJ^fYeYbaMJ-^k$yKwQ2Z1 zy6Nk5n6bmkHyFwujvoardJzZGZZ5%t(K82^ho86>I10V+Q6B4Xx<=tO z@%^K-(HnScGeLZH!9;X;9Q%mP%PTRAWsq5k=c2cbh%Y>!SNP)c@LgAc)#%n^;uhP0 zUdWOEKo|Z$bmzat@1+LA2(OO)JECig*+=emCGLu$j`zWHO_~ULp<6gX=N5{h;1oq* z6enB_#-mF;Y2GG^Ul2Tio}J-1ro)JuWC4cdAExsw z-4kg2ij=j;^XR&Rxb5p|xEkG=n;)k-{V#Nan;W)oY*YAAagBdRSKSf6nbCPT^RK0S z{8a407|KTRII*k2VdzF`WJmbH=zhDEhi6d*O{a0NIMJ@fJS_%;E(*xTB# zLf5|$Kc9ZnaK?X^xp4{az+uIk@m@a{-I-f@Hp>5qUc$nn0;$EGLC+bL`=S=D3$LIX zX_3$s=tFdkxA1I1m-2p;bzz%hnSUcWKiX+(A9j@%uB=av5=aCU=$dKq`SNh|IzCgx zn;^76A{dD-VRNzF^GtN&t9UEF06mfG&Tg(i)6ny~mb38WT5v`NLn${UZFjp9J-H%& zrtD$#9B;GvGkWZA@#*LV^ibA?2V8<{(Dp0HD&RlSo8OKP#Q#Qb;j@qZ)mwxAKidx7-pxG;|KS znYVS_@s9VAF|7L{6;7r|8dNjTb+4xLNA!Ep32sVubOn48y_8#UU7{Kk1WVA{2F2&i zmFNw8q|o}f6}_1YS*!6|=s)KNWu_qb2*W0h)Vx`siTJJA#choSp5qi^uDfci# zQE(kP^j6Nh(d)m7reW?r@_Y;_21P%Y;a|{+ap~|Jr`MqUH>y8D2kdq%Xi<%~pjUH( zR-dZ{enPL`n9lEpiQ`#UxDI9dN8x*;hjJra&)G+YUKlDUp$(FO=t9TVm%AFDj$WM#LV~07zWV?~BP zV5sHFIH!5j61|Nh8TT-v@K$syeO~PJ26PqAmX$|35&Vc=*)A2%cj3RG_4Ag`y6{~_ zGHZBKG&g7yL09xp))o3cm%-5(N|_cRS~sjtK+ofxzn4qk4D{Ax;~HL!UUcftK|3S= zHoPd~~t5kUfi@vqvfuPL47t3SPr7jmtPYX8!{{mSb~o z7yb=;Vm=OWL~RLI7ksY_7v*=Of}pULQF?s*UVlgQ(nI46_C;?`#|1bPy@{Kkn_Pl} z(X6GR{#SudMo;EceHvOBUVt8ZU3vbk{>-HqR`MYaE8%qX{OjTmPu`AR#KL1GeiU8u zaCv@nc@|y8thqeOAQ8N(_}un&`+M*adKzQQru8@IW-eaKas|o+zhRg?GOlsE(F_vq zn%a@99KAW6`(+N*pdWfQ*K#Vbns^v`X_vT#YSCMH1MG@i2El3Q+L`egasql&qCDId zg>(Hs6+^$$RQ}C{CiM6V%EKRB0uP}ZIML{YqzwOzp7vS%-3o7^=j;_9yVs+)aHUk_ z;%`B3;KIa~?&L|#B9`_J3fFz)eKBnEPAuKgE4TwT#wBnhdOTfVU38)ncp~>J4bePC zH+Y{9xDLI(HI-kOZbi3x-_tP{UC*W7Iiw%$|4(8l`*-|=@bl;v?|tDn(d)P{v1$1p zdX@M5=qKp8+^`tn3cMBF<>PdCLbQ(Xg)1DV+tVWRUHBg8mfYodZvQXEuxVg?`P~Oy zeOWrZ%tg?7yy6u;(B$-~==N{L&zYTrUVRMn-i2R_UfDJkzU&Gx7hStC72f5-7b-sY zkfN`RR};R0Vea<$`xyR#&Y#hkMn9qLTbgx)qNt8hKOufZXHRrL9^aVcGAKt6{W6vR z{)ay3$=s97&4NTQ5S`#7%?GglMDwy1gMLhxd?I}|y85X26nhbRT~#{zp%fK(26`I1 zStsZJ5W3|kF4bI(pGE7d;yCI>?;X5^PK=G8$ax>VoOfR`ySN0t!qDu!jr$+;ChwNX z@91hq`5Df?{VBAFcYk0%^eNswpq}W)LRy4Ts{#*3uRkgkp6%j~LAMNK|3Azb8Zj*5 za@=Y(SK9lQnkUfw*m8arynrq%kLUFV=zd%$u%Jd6{(xS>ZmR89)3%7|RC_@>{}fgU zdg*d+|L=yOf_wi}E`dYQTlg{)@La{1d&3-7#7?Xwo0i>nO0@2yerU zfK_x+Z&!iNX#3e}b;17V20jpyTSxdj6gsnLaXjje#4z(%K5gv^bR4>swR)LLa4b5( zHyr%Q=}G8XE?)O?dOF&^XkD}97W8HoHf5+Tn2oOX2JI84vi}!f6oiCFyVVOg%-@mD zza#bzdUc}2U0(CaeRLfO9EiVa^gVjz`1oG%PV}nR(%~FefzI`$#}6m{-T8M%Z+;^l z1O4imfA*bkD)9guYJ-vxe+rZcPErJK7Brv<38ViBpMdUnNbbjQRG?|-R^A~y90%ze z(QCOdv9<<*T;WF*AL@2rrfl78$C7?zXP@oy=|BHZQXCsO{x6b zvcXPtG4D5ZaRu0wjlU|0KfJUDT0c2-gbU9cgkc5Oe%7P|(Bsc7&wogz20hHX`aKmr zw~RsO3OE(L<%sxGO3mo{iE)Pap{IHG1D-(l=PkWHxdLQ@RtyWhCVm^ewjnnr^rqw& z=tbPqu_pWt9r7&T?yd&yPiK@5kMDMON7wRTTyDEA40@yIqj$q!@3tI`wja;r{(mAE zjUiPPUn-r8ZszF;yTfr6dJ5NWcF4RDUFu!QJd7U7EU{^~2tDTjK1S&J_^;@O=Qv+D z{T6yXyXASRfcF0l7?yiu;2ZQTPPsQnHB1BrthrnG_-=Qn+o9_Z&3%`yPQ`npH&B5? zT=>E0jb4i$hF-{n@VN@`@n3Wq-KT%ib7W&N%w}nfHVX=L6?$eU8uxrq!XY##yw!u(L**b>ffcD$a~*MYYHi=!HDg-01X5bZ0Kz zbe}@u@1py&op0b!sFm;&bOWbi`akFJ9}M;bs%n9@XR?qa2&RV^!dJ=!3m=;z{&c?`ro2^ya^$!g`ua1z3e#CmaZG(ukttmUH7cb`!+#2dgAV>kQ*UUdi~H#Zj7HJ zt3hv`!_t}?#e6RfhRz%c2f7H8(RE&pW}=7kG{jODo<&!k7N2NZ&?8S}^KvzO9zEAP zQN4j)nJEunbMZexFU@f4)YjsEW0=QtU#B{UU(s7QcH4m@aW)aS-QL56m!Ly#(d_sC z2IjLcC;|B=JX9STpz^jr{+bBH5RC_rzXZ5N2v%~20Qruvt9?Onm<<%r05+>3`50K6 s0r@)ph#iv+K)wrbrF=Kk!pT5>#CG1@Y*MP*9UrjCaBa_j#a3wm0KrQ!2><{9 diff --git a/embedded8266/user/custom_commands.c b/embedded8266/user/custom_commands.c index b49913f..dc0e74b 100644 --- a/embedded8266/user/custom_commands.c +++ b/embedded8266/user/custom_commands.c @@ -11,7 +11,7 @@ extern volatile uint8_t sounddata[]; extern volatile uint16_t soundhead; -#define CONFIGURABLES 16 //(plus1) +#define CONFIGURABLES 17 //(plus1) extern uint8_t RootNoteOffset; //Set to define what the root note is. 0 = A. uint8_t gDFTIIR = 6; @@ -28,23 +28,24 @@ uint8_t gNOTE_FINAL_AMP = 12; uint8_t gNERF_NOTE_PORP = 15; uint8_t gUSE_NUM_LIN_LEDS = NUM_LIN_LEDS; uint8_t gCOLORCHORD_ACTIVE = 1; +uint8_t gCOLORCHORD_OUTPUT_DRIVER = 0; struct SaveLoad { uint8_t configs[CONFIGURABLES]; } settings; -uint8_t gConfigDefaults[CONFIGURABLES] = { 0, 6, 1, 2, 3, 4, 7, 4, 2, 80, 64, 12, 15, NUM_LIN_LEDS, 1, 0 }; +uint8_t gConfigDefaults[CONFIGURABLES] = { 0, 6, 1, 2, 3, 4, 7, 4, 2, 80, 64, 12, 15, NUM_LIN_LEDS, 1, 0, 0 }; uint8_t * gConfigurables[CONFIGURABLES] = { &RootNoteOffset, &gDFTIIR, &gFUZZ_IIR_BITS, &gFILTER_BLUR_PASSES, &gSEMIBITSPERBIN, &gMAX_JUMP_DISTANCE, &gMAX_COMBINE_DISTANCE, &gAMP_1_IIR_BITS, &gAMP_2_IIR_BITS, &gMIN_AMP_FOR_NOTE, &gMINIMUM_AMP_FOR_NOTE_TO_DISAPPEAR, &gNOTE_FINAL_AMP, - &gNERF_NOTE_PORP, &gUSE_NUM_LIN_LEDS, &gCOLORCHORD_ACTIVE, 0 }; + &gNERF_NOTE_PORP, &gUSE_NUM_LIN_LEDS, &gCOLORCHORD_ACTIVE, &gCOLORCHORD_OUTPUT_DRIVER, 0 }; char * gConfigurableNames[CONFIGURABLES] = { "gROOT_NOTE_OFFSET", "gDFTIIR", "gFUZZ_IIR_BITS", "gFILTER_BLUR_PASSES", "gSEMIBITSPERBIN", "gMAX_JUMP_DISTANCE", "gMAX_COMBINE_DISTANCE", "gAMP_1_IIR_BITS", "gAMP_2_IIR_BITS", "gMIN_AMP_FOR_NOTE", "gMINIMUM_AMP_FOR_NOTE_TO_DISAPPEAR", "gNOTE_FINAL_AMP", - "gNERF_NOTE_PORP", "gUSE_NUM_LIN_LEDS", "gCOLORCHORD_ACTIVE", 0 }; + "gNERF_NOTE_PORP", "gUSE_NUM_LIN_LEDS", "gCOLORCHORD_ACTIVE", "gCOLORCHORD_OUTPUT_DRIVER", 0 }; void ICACHE_FLASH_ATTR CustomStart( ) { diff --git a/embedded8266/user/user_main.c b/embedded8266/user/user_main.c index 1d4b705..0538364 100644 --- a/embedded8266/user/user_main.c +++ b/embedded8266/user/user_main.c @@ -46,6 +46,8 @@ void user_rf_pre_init() { } +extern uint8_t gCOLORCHORD_OUTPUT_DRIVER; + //Call this once we've stacked together one full colorchord frame. static void NewFrame() { @@ -54,7 +56,16 @@ static void NewFrame() //uint8_t led_outs[NUM_LIN_LEDS*3]; int i; HandleFrameInfo(); - UpdateLinearLEDs(); + + switch( gCOLORCHORD_OUTPUT_DRIVER ) + { + case 0: + UpdateLinearLEDs(); + break; + case 1: + UpdateAllSameLEDs(); + break; + }; //SendSPI2812( ledOut, NUM_LIN_LEDS ); ws2812_push( ledOut, USE_NUM_LIN_LEDS * 3 ); diff --git a/embeddedcommon/embeddedout.c b/embeddedcommon/embeddedout.c index ddb046f..12a3cf1 100644 --- a/embeddedcommon/embeddedout.c +++ b/embeddedcommon/embeddedout.c @@ -235,6 +235,43 @@ void UpdateLinearLEDs() } + + +void UpdateAllSameLEDs() +{ + int i; + uint8_t freq = 0; + uint16_t amp = 0; + + for( i = 0; i < MAXNOTES; i++ ) + { + uint16_t ist = note_peak_amps2[i]; + uint8_t ifrq = note_peak_freqs[i]; + if( ist > amp && ifrq != 255 ) + { + freq = ifrq; + amp = ist; + } + } + + amp = (((uint32_t)(amp))*NOTE_FINAL_AMP)>>10; + + if( amp > 255 ) amp = 255; + uint32_t color = ECCtoHEX( (freq+RootNoteOffset)%NOTERANGE, 255, amp ); + + for( i = 0; i < USE_NUM_LIN_LEDS; i++ ) + { + ledOut[i*3+0] = ( color >> 0 ) & 0xff; + ledOut[i*3+1] = ( color >> 8 ) & 0xff; + ledOut[i*3+2] = ( color >>16 ) & 0xff; + } +} + + + + + + uint32_t ECCtoHEX( uint8_t note, uint8_t sat, uint8_t val ) { uint16_t hue = 0; diff --git a/embeddedcommon/embeddedout.h b/embeddedcommon/embeddedout.h index 1328128..146f010 100644 --- a/embeddedcommon/embeddedout.h +++ b/embeddedcommon/embeddedout.h @@ -38,8 +38,13 @@ extern uint8_t ledArray[]; extern uint8_t ledOut[]; //[NUM_LIN_LEDS*3] extern uint8_t RootNoteOffset; //Set to define what the root note is. 0 = A. + +//For doing the nice linear strip LED updates void UpdateLinearLEDs(); +//For making all the LEDs the same and quickest. Good for solo instruments? +void UpdateAllSameLEDs(); + uint32_t ECCtoHEX( uint8_t note, uint8_t sat, uint8_t val ); uint32_t EHSVtoHEX( uint8_t hue, uint8_t sat, uint8_t val ); //hue = 0..255 // TODO: TEST ME!!! diff --git a/embeddedstm32f407/main.c b/embeddedstm32f407/main.c index 1572c26..6234871 100644 --- a/embeddedstm32f407/main.c +++ b/embeddedstm32f407/main.c @@ -37,7 +37,9 @@ void NewFrame() // uint8_t led_outs[NUM_LIN_LEDS*3]; int i; HandleFrameInfo(); + UpdateLinearLEDs(); +// UpdateAllSameLEDs(); SendSPI2812( ledOut, NUM_LIN_LEDS ); }