From da75b5456b6e1c4fc33969d615ccbf4dc38b46fc Mon Sep 17 00:00:00 2001 From: cnlohr Date: Sun, 21 Oct 2018 00:00:04 -0400 Subject: [PATCH] Bump firmware - permitting output at boot for systems with GPIO14 hooked to "enable audio" --- embedded8266/image.elf-0x00000.bin | Bin 37312 -> 37312 bytes embedded8266/image.elf-0x40000.bin | Bin 213712 -> 213760 bytes embedded8266/user/user_main.c | 6 ++++-- 3 files changed, 4 insertions(+), 2 deletions(-) diff --git a/embedded8266/image.elf-0x00000.bin b/embedded8266/image.elf-0x00000.bin index 6d69844142d381c7af03d26290c8178f02c817fe..53f421a0feb0b27a96bdb8bb45076d0c347dbbd0 100644 GIT binary patch delta 536 zcmZwDO-Phc6b9gPu8mUiG1HXgP%@_1?`94;Lw`^aCm86*g<6CNh2J7VNMV9N$fT)o zF;Osji8hkLtfHWi} z#A2!%it=X``k}Ge5Q*o90A})=pi8nR5~8=~UZ^a4fo5LX`WT(0bob*x1AUr~+tjud z%<|y&8s$vMAlj*^un%aSZ|~?(=J4Pt>u zu9(o7>~afjbopZot%D8k0+egZ9WLcAxs*TaQt5=Dk_S{2g_|y|^7mWpJ9nc^(*yBI q{1$)qYx)+`w6k4PSXA==ypjHZ%$K5?zKUO>vR4)3AER@%7+wSEMX?P4 delta 536 zcmZwBPe_w-7zgm@`Q;WRFMC~Cjg)NueBaEGuV94)@(6=cp{5bxA>1hj5v&LdR9eLj ziyhQoQisT3UBrXQ<3UAY2Vou()+O;^Om_+l)}KS*rDuo0Loa-IzI?y$;g`>;d`?X) zVPbOm48EV-y5cJZG}$JE_wYBTao;)uVVA%Uaoc19!`NiL1x77Tx|oQIBtNh(*p}g#+Fn_Iki&jj!Y!s+-SW}J394q5v zzJL6%vXV0VxvpvbJkT3{dZJ&U#~Y^#3KM4f^a#e-YRDm`c%kveq2LF{B?L$o6Yt(El!GJE;W2c}k5KrU&a0#<5iA(d&V@sgLo{hwL1@Avon|M+|^_s%(I&YU?j zbLPyMnXPxIOG?$SYCDLm&3k)H9F%R(&h&5u1Q>Z&{`TF8tnIPwXHrNU&pxR@bP@J9N9KjC(Sx_FK6s zL1%l2?1vz)Dk;t^7cK4|{aOGZNd56@8vN7EFdlYql z<6RBDV%g%m_>_D28-L@uG9U4+Yj^Qu@8NfXzgbVz%E{r%;nC(QuW0kAU5t74?tzK0 zWnkhed|wY_n6+fJGD&khAlkg-xq*qEfzjqC@LgB=k@6{46Q+(f$GtExv0fW(PQ-UK zv3NWMqsV6-Um5F>;fd`76B#qZ@Se;<%CzLOfr<7AhS@nW+I$XiEfbLD_`pP-yyqDT zb(Jp9U8DNT7wZsqSNJFrbt)oj;a`3HH*J5qbgNAGJAhU&#F zNqo9k!$UsV=lxlTo@TjPz-Bzih&8w;2OUIAtJcY zFI#1*Hs{)-itHlz(u^zETp_AR5c4Y3dXEBx0#Wi-XeE>R_zBngMVlvY6>Z*nRhx}% zvni8BKK;{}ElJ3N693eXED8is__^Oyy->7==v641$WrOfll}aVXs1sp%P=M((#Z3H zjtep$6j~QMkFEVwu6tWL(=S$=p`QG~r$IH*tKGu-9{K%TRrm8VjMudGe`aI0KN0QCMBKjTf=XvmC`GxJ*)_1SgRwt@k7!>)zek|Hc zIwjD)02!37`u=`id6`Y)Lq&Li0_=JH+3ZbTS6CJ1EajM~V{*w5ztG}Q{WyROiZtJ_ z8pv{IOzP(qVJ8ecOr^;Sd;)7wr}^^AFc#7 -9(mWlnz;*gS^nn#m68JcSi2 z7)YcjM~%{PQNG(%g?L(3B*fM+m9g02|C>JAP5*_`@%`WQ5&uQMzu!&okJ$(L5A83e z1sqr2<<6iWeSKKKDd8l0Q6mNP55Hto(^1vdhlF)I#N{D^Z-0gl5=!cR98@>l@C_91 z-JiiB*c-w8VeqD+)E%M-Rlm4=Xf5rW{3>3ni_(c%s|MAjttR{ZeM|#=UXosYO1KXD zYIuI0uU`GNsAsoUNX>oNIB@C?vYJ-+`|hiHwRE|USHcN$!+$l)HIoGzYw8{YCPsu-GXHV0ZKF_w?XWsNR+iiaNRa?z%M zZHkBIYCU#l9ri1?8oZ>_?7kZKClR#CzOVP`6~VbmEamvOmR;l_Z8|I@`?Rqzhn&;u zA&c~BMKF=bfJ~6cl7M(kTwfI(WNADKgCoslAa4ac7|HkTDBFetqs_63p{za1bOKBT zp^~xBU(mUpBD%mJcHj;f8>oX$k{@XF_~VYpq8LF-wg!e355?|QTaI=xJetR z2llyf^ zRVfSH8GA`;kPbc|^Mi&4{&2_fCoTU`E4wOjl)uTkN;prR4~mGdzY{-e{A?rVF8FmS za^}kXvvoznH+NK#4E{-{?ocf3B#l9F#b4YpqKxp|AYq+V`1DRK$4H+d7&cO1U4iiN z9Ti|k;n*EllPny)Q>tW4(Zkj?#}N;q@-D9O4)?Px9J=H9Nw$t)&IfA51g1W{3LB(8 zO-I|L-y5Z^9F#UAiMi;zp`59WA!~zm1Ap-P~3n9b9{V3ex zb4RT9|6x?NuVc0>mV5e(C8lbB@FKdQsrsH?q>ws#ks>j*q-BQAHABX4YuPTdwZt5) zLrSZCHnfmULx+bq_xjvwJ1gsM`RinVbOoT9=GLubvb4}d>V}5EkL0(Z5P+K|#4Fgb#a93c6^@*Psyg^~PTo_7T^xn51dF(w<%;ot{^48y4z%rq^QZ^E=rDDh7!acm~xq4CAG-g!9a&`?aK1Pya~4QgRauZd*} z1C)Fe8V9{^^>e*-x$D{te5rvE%NWVgD=ueHSq@Ht|Do8;c?5Hft<|B^kgpCkrSQ_o z-kG^&yfQU6*S;7MDM_xdSX$d_;68Y;pUvhlCt_^D;O6njU4jH<8fWwao?HqY>b~;fIut4 z4UwfEdyIUx+V(vgpHTWJ;&CdM&iCvM|HBsICJdp3jzH=IOO52sh|rKa%u#w%w!I>o zMliZIU2uR>H$$?&M;aoA&dj9s;Iwj!Rj5kUHKl8?%k)#2OD$8syQlObeIM(ooyJVL zvb5T@O}@!GlH|-QOR9sLdFfEk>dKyRX?)Pwqrh{k50Y__d&69mfkPgUO5MWF_jP>E zmX^s3GTK1;B88-T)GANx@gqs05aZ|9lg%a6%xzs-U1V3oN8~jjUJX5ZRa26N=ayE(RMH@X1wKp5 zFqG$)^A&R{z)+4oZvz1_p!jKun9qi>2%gVomZ$knGv?a;U@e93D(8J)GiGjYwkp&7 z?ik@w3S6>1dHphDv(+!ZRXjZ`n}Zb;n%}zX;QjNPZNpww*GdfjUHQH{&9`MDF)B=U ze^^9mF0*`vN$@{k0hig_xp ztnfoOA8?Vy*G0b+R=C*B--piA#cp&Vx3Te;GPc8pC}x#YSMmzkmR=Gnn!Ze!BmS9l zwp(iG&gZbnUL-wYsNbn>qk7t$I}a6oHEeUWV+)Cj+MC_NmR6#$j4d6oE4!68$;8M-v%zT095QWHmq%I1*EaOa^B1K01_M>S?trDXnJeh zC~*?av%b4@4=}DKn&TF&%75p0+s<^W(C0Mb$SbO8TD5A;6Qh;O)`*jZ(Dbp)g4ssu%lmSf#rkFu=~cgJ69E@QA4VGndgsH||Ge=cYWKwAU>Ms=E> zV>KIkOLw`XaKw+UmlRL4(n3^%rKu~&)NN1ft}O&yKiGL!l8l{BxW9Wzwa!?kXA89& zDZksQ!Ya&H+g1>dnDXG+w8BfOrG$HMu7H)|$>%XT&18y_1lpiHw}6$x$zL(SFp;QY z4HJ_nPT&!T9XV0fwdJBSh|PN#9 z<49bSB5zWw&+lthG!t(^QtM z*!pOZf>~%PDVjtVM3Z-1Z%FGB_rEV@Yi)}MAe;6bu?&4t_jpL|LN^7MN0Vp@Ce#0} z2M4gfC3R(tr8dp9BR+7&h%Q>AFjjY|g!ju(F@o47#dqmOmWVZd`E0gtF2@+v+%Pu& za!yRwH2MCK2*1YWJ<>0zyTYCoSx(Y*F$EsOiWfXPC9h6B%Wqn%P0{wt zSf}*I?J0^{6(g;ooRFmvkQetGpx*lL5?Zd@mR59TD%~jCFBH{DQNqRBMZzXM`=k~w z-y{A0wiwL>AM&!=OKCm7qj3Gfv$w56soqCAb9=4U8cl0bWOtYfz-r$l3Y*d(K}m_f z*)d{h@j9C0ctILEAF!T&r?E($r|r|*Eyr$)g~9sOMS?>t9HLhi6@UpF;bTf=5=xB< zY=MLOQ6`7p2W6_HaIs8AHxie9B_dcCh3fQDAv;zY-C3Wl!|w4Nt;^?>PS5iJ)M+QX zMr=;-?JRksY^_I`2V+vR16zs@P)Y~dt@Z^lNBTL zim%-^LhT%eVLHW6#088Pi60(Dkbb$Hk;{muV@zdF#8py2(`_8bgAG(4RU%!!Z7qud z1r-B5uDKe-SWiczD+Z0_-M0HRKQy3025y07EuZ_9DfqT$HisdFWTQH4iv8^Ej8JA= zMTIw0L9B`21?{?>pTOGs!m7Z_(WCT)~08Vujyk$W|LP=)xkBa{%>%*HLb+TjbTG=!i5$nmXGL zT^bDq=AO}+1cz1FWaM(~o6)!ZF=^CHxt(H!&C=zLY+uapddkgZ4$WZuyr0){3I(rq zfCBC4HU;;i0)=zDq*(u8ht$wv?$6Ao;J9Kp=H1`u^!Kk3vkxFZ|zp4DjxKWs2 z;>bP8!Ua&_q+M9NV=2VfIo|89UBhtSusBOfqyx<=c06tgVy^7yz+8pK zX|6~mel(Wf_@OaPjnxr2QLVJ9nOw4Abg<{f4ui(%=UPO{Mu&NSNToz#R~V@s9Uc5g zN4(zkAY@=D#ENx7K_`{iZtfTpoH7p!C^a*-^NJ^$HLm0RlD$J`)#1#4Kh$>1jO#mv zu6~W})BcidkRIsJ%<4o%0!OSBtRzHL~N_@z$LcJP4^Nw$p@r=G&q&9-48m#C~o1#w>w zCT)pIN4*k#p3AIw4r+F~fisg#N=}OCXsf=}Cazp~;4+O01hXK0-`+A(=Nbj* zG^diC$*+#AYC~^yUpw|CJulXnZ2S9#_uD!A|8ZL;dp^)l&kqI@($qLiZD+{5lnFR0 zUr$M!RMCc`w%lUmVNV+>oL6M?x#gDB3R^8(#Dny7yOn3=`>jCp;s~cA{Ya_~#bol_PxK(1<&RkcwnXfIGX zjA~qmN(LpHmLD3$kNQziTttX7$$_b&fX_(j270g%P;4l zR?EWpc6gRzxPQWRz7{E11CN*nS$M5Y&jyQ(!*}4ykv6?=p>|2@M{Rn)V5`b?7Q!K!f@KH!X`mHd2f7Jv9m3i zJm)Q_!*lD4<$6*m=IcSxFc3<-F&BB8XU4F&NP7ct^~85jPAMssyh8!nLZ#9%8m zHzkRqO2jg7y{jz36~3~4m3hso{57idrQ)t1X|;_6wbjIp*@NE_cpMnp4m3HEDR(u}>EM!rp=g9@j?8 z8CRY{y3vNz+-)~)8l@Gc4pNjRGO-I-)kx+_Mq7<9lb7n_9yP9iv!?Ps8Vzv0p_Ga* zw`KcL2CZ%eM#`W_D3%)A{#QM?zuW}Tg9If~{r@I#-Eb3x4HB%EPPL&92ALp#)P(B~ zH$fmJu;Tblm#W)x$Dhz4)^^>EQd5-hQCs75<`j$X8Y|<9>leJ*YFOq-YG`4qzp0E) zZrCeUu(rwM>9p{)-3r8`CF35YL;ofn`i*RpEuI}LVozJ{2_1T)Y6Itdz`?bhGr}bGrEH- zu5Bz1BrA@ubm`Hy0#y^1ghS#SB|Jt6ZKD*WUJPbP5HJJA-(1h*I4|)}FOilYQzYja zDDl5Z!-R>jmuM!&7k|`>CMJbV4ib*EX8W3Q(Hl=waXW6sE6^5A12||0zDHcrt z7u_$kwq&wjp;hy(a)(IMc(iKVJW^~nD2=TR?PQ-hq`18WnF=>r!QE?IT2}a@6^7Ho zs~>L_o@hb|jll;v|I!vUnxptWEXppZowDQU{+~5p@ zlT@1OGnm`GXXBztJ)hv`%JjUzr}_4%-5WQbQ?PsE#?|WHxH-|vt@G6Ljtoy-)tZLt z)_l#SeYF^BA*(Dw#i|Q3&S7mdkE&nB?L$7SX08U_qkx9nEm*-51~u%Z$tAco)T2TI zb}2x)8v>T+TMe;#wY!{mTODgW^=fXSr|op#ASZCT`BYFvQ9e6qQm=NUdM;6iu?E$t z;$TW>^h1tY2RY7D{>|`}R>vcrqLFbtgKYVB?86&8h2t&x8n?16q!qR0R+X@|)eV|? zA5G6?h0U!rm_lD@oQ3CF`5@fHeo@)*Y%4ZCeCX~yj#eyqwi@=)6d#CYe!db_@t(U) zid#+lk0Y;4c70n5hJE?Kd(9&Jvvu7sZjAj#OJX`La0)9t*1E3C4MbYY@8p727yUsC zuSiuw4y~5(4koN+Eim1kTHMM9pcSxhBw>@ng6Fl?lre2(YjG+xm4(0VASmyk`KnG{`|-gy0rb<@s!R8uqOc^iPKi3HOo={lTvzsiZrf}A)rQuR&Hh!- z>1ICXpWt2goGvGpJeVFlrLE;@Ha_o3ZkjlGN2d_pYKfrI5EIr=i>%XX;J;|+fV|ss!rN4#pQrzW4Rg$l;G`E;-wDN<>Vx`-( zX)%3IKeVauDyM}ku<77BDY3=DZ{%IugK&m;WQ-1bh!zvtD3!Kgpg;-hXcD`y`ZNo6 zlAjT${^}M&3*C{GTMhe)s&C6Rb$u+)T=Ey%WT_2LWx&%^BHo|PVmLB&hCakrZV;Mf zc!Yw5CfQWx%`5pJSWcdwvBJytjG?Z`U!$|_n7N^NxVtXUnB{p*qK81V^Z*D~X{fUz z1$WzkPSlGA8ZH(nA%5_0xmiWJOAIe&9 z({*@g!%Ss9I&oW*r~&x~@)>4)ukWesfkTw z3w0byW?N4p;W@vO9STb+j0hsH7bf;0bO;l#%}2kf~E?0jJqulhRZs zTlegQ$rOO`t_~SPB=IyMJ$xfsp$|w-f`@4`jYl%ErXQuYMbe1ub=g{e7DCB_`-WmS zeDc01)<2rOdEaIXHR@-r)g(s8nOEU+0H1C6%p?bAg~26qW>$!|{O4WH3~8Aq27LGj z@~^!m_ub;zG{EvZ|0FT9N5Y$A_G}^gWjFRaS@>FpMf9WxmOW3lRy+eQQB1~J`N}!+ z+UybVH90jq!tY56>1d7GxBO|O(;b@K1>P2T*G$@J~!~`z~@(d z#63Hujsa^$i+k$#(tzbpWObT7zC&7aPvE5_d(LnOB2UhV=5zn_;_ucYm%KM86jB6 z7x9e^V+&I`YE9+gFkPQi3DWP^eX7*ky&@_N-oT z$qu4jT8g#M8PgC5$A(L%~`D1;s|-rigT_*Shx-2xQ7b zyN3^4MX;OB^s~bB)Z3ECXJiOFNXH3#DB>{L9G;h85hMWDdb+6 zL&?@95eDDiYs{&4rSPSNrK$h6cHBwyR;8`I)^`l>IXSmvEL0Mor9$97$}LN2I;|6b z2C-&w%4*yNF)z)+0~RkV4Gn#PqIp04`sCGEkIgjImh}YW{Vovl<>^&Aje{C*V3u{?!d+NIMr=AFTHihYCwbh<;z{aP4MS7==c+h3L;_YP1bsmX3_@_T zO1k>%U7>y_vsRBvc-fuxyL-UzX);;(Pp26t>ji06x8;%yrR4DHT;*TC;{LdIQB;Iu zS&&MB(*cGlc?B8|$I>8P>#1>1&aNe7TG1S9_;2eU_@zq66fNm@EeU|J-Ja$hI?e8- z!l-Uu!7DjKpvsdGnV0h_deLO5nQ1z3(&`Ec-FmB769R<%TBKuLuM*Xx&#|tL$+kyz zXoL2%%dS_pnrdKH6BBmyCyaKOf%+$U0wESndj!JEZ1aFV3O2sAzI;qZYptl%}7+^koo7 z8lQ+`yPL_KCqe^Sskmu=SxWII9ChDfFTY6)YZC%(hqZZ!0)!e_WS2zE!J07iNOXc;11`$#YNL?9zAz|^iyzQyk|FbEPR}n19tA%^ zL2VGcMV(x3_`q7Ba7dWc*L=5X{mrC*tzPB&*_UT+WcZWUl8Y!S>4|P$+c;^>e}>ek zPRpM%4yg?kJvgN3B=g=qM(>en|BQh-m8<`$1Z@%}>SvFO(?pXo7A;p0dG@Iy_I4Aw z^He#WFMVU3&hO+Eq;ap?s;p%=!PKowWL-XFVBOM4*XzFM+-}5w=)?xEk}<+oRK^sg zFXLWAF&(7z>F4}cHeq&8Y)H#h*~p;(9Pv!vgGH35K^=UkONzXW0VK;A88-ozQ|MZ? zVTh-CiT{mYDde{06wNc0vZy^LH`L0df71V|Kja3NTzXAT27Xki4l-!QWQ`t=e(1F@ zi8Ma5B4{Fo(J|^L6<)ck#D~bN;_?2ouhcC1UeDI7Xh=38`7H9U;?Rd&`GKg|$9=J) zjt-6eyKbJdem{^~*qFQGbYZH}sI-YUL zM{mo`m|{*U!|5m`2d-01tq$rqnNt)u?W-Xk+r9hwkPTCq@(q18%Y2}jqOpcHGIwJt zB#>7&R$!?qn~Wp4FQV_-x^Jg5blHSX8}!qz=&FkT+cUcB%=wd@n^IvtY1kC&we~Jc zZiizrx-S24nDPH!#{}Ln+)!S6oA=r=b(w>-IPO>4{%LTK>7}h$qWRg(;Gmz8d4>`V z6K?*af^ZSG82rhb&xU~~`SjU;hW_yr5-bsduX^Hn%i1Kw{zf5=vjH|T^Eu0yb2ODH z?E6+ck%WiC7Q-0|kiPFQDV7yRVNja;oo?rxQDbaDL_g`O8vi@USI@nV5)^IT3LKF) zho*7wX#TDrb8pG@-v0sn_x}MSbDp0E|00KvDF{7a_5I(==@l~NexG8w`Sr&Kff0q zz=9rrAs^RECtlF|Ra1Ite(#`VvXMJ4yz0I4^4+oVGI{gGk$C>~%!@-|KDqW{1mqBp zmn^Cbn#o3{zx2pIO7wB&*S1BQXAX}uFT|$|(~0=@$EPJ87d!YIz-JpitMHkLPa;14 z__P=hkIw;ow&Al1pPBfW@zLSK;M0Wss)(@dGx)Lc=WV~iXmfIm*<3R)@jzUh+4F~i zi3#`|pasS;Og$|WA0`3Q_~`IS#AhMt*|8E^cqvJPMdTfVCW17PVR(w*4jB$A61UTk z(*GmY3H#oWb-rl!O=Y-09R}SLhzml$uoG|}4S8@OccfvL3g0hHv{&Y0oc>yda=S&_0GJuzz;?#FxO`^C=237YedT;dm-=d*S@o-V2zs}~$( z!poyEShMEk$2@F&WVWC58EJWW2&^WauY|F;e;~21q|?@ZWo+@(AFyByzpt0M-My_U z8F#<_MP)JiRWJV06cNY=;u?K7g%p)Niqk$HT(sO(quyOGJsrDTrjL7_!8(4@#wKuu zsUd|4ejC)w3iWtRgZqQ81;!nma9roK{5>Ji_9kIoopzC`Bkih;jK@va>Bk~Hi}33Mc?EN^#aMqe5w)ynf& zYuQ;iNg`gw{dbb}>Z|Yyx%#TH*fCU9`-_Y<7&K)ycCd;jY{eUade)^=3G+JjT09ai ztwX2wPgryj<+jY}#0Cn)vrReA$awN*s}0S(?Ihq9qa$1;%r8&)dZtwzIf(ma2;oyx_;fkYM=3?l( z6Bk>zUV9i#)ejpWwrMm(z&wj1Xl^7ihD{5E0a+c*oIcv1* zI_cOg1iWz3RNiqRhtYM2jd-V|9ojDv{TmC1m{D`IXifDWvKO4>FABkzlnuKp|MkXe z00+ppe;pqlKqd8rQza*byG~-_y-cTBJxWWD_Fy>VF*=!*1%R21E=$R2zks=LwzYDG zttzy)M!>B=*SB66ipB`>3Ajn|j`LoI@Z;L7bm{ql0@gFZV^yK9!0PF!@sb<^`tYn# zj#FOxaOo!*qu}I=vW(Drn#&0MMq4rCxpnI*z5iB|p|#Y5ah)N$@?kjBj4w|LcU|RH z@cPzdi)HIT)@ZKW3!D5qMii(BHnOFB0``Ef%ZsqHq*a*wT;JpNvRhwSl$tzKQHY0+ zeyeyR*x|Z|@j#5L*b6t`DjCwdJ5>AI`TwQQEaG}AUNieb@Npl{OR-Eu>X%|w1f=fR z69q{mde0<0>Ahr+5NO+k=TY(O*A{$Dlep*c#gRAmtjB;$!IZFw>50o zc@n-mlg`1Mg7m&U3kt}Lz2n$R-@9||-K$~izjx>Qb}#07 z>7Mk)ewwRiZz4QO;@_FezVSVoP!USX-U$w}ZMa9&+m|)kk&0DxtRnK>q2$+hG}x4# z?~IR<&ZE-B`Se6!9@kLbM>nk;nRqw=U(>lXgxkohcQgH5k8=9(!$dM6#oZVBpfgXb1h?kcn8>v4M zKa_jeQ@@+{+iG$x_w3n?Cnns}of2=i1RT}-M>nDjM!pBP)~s*&V6UBaG#_5B+;cPm zu+KFd3xH3^Z^tGDyw^bKe5G#&tZ%)}dpzk2dn(6&oD5t)Cl_Lr)q5xfJrB za+Z^qKdD9|Hs{m#Biic`rQeRtvnMZw#YM_33YeCVT#oMBUnKH)Jp4wc9uH$X8_1I5 zNw@&nef$9$Ry#g?_WP8ybcrn0^;`FBguOIbyI4j?03C$-Nk9FRU*%Si&?mEYoND2Y ze51e%IJ`2{B^wmGE2R7`Ug_xcK*K7uQzfx3C0YBKE6$b{z$to1Wbx;ZrCMm^lEaPa#MG(>k`fa;I6r0TEcmx9?2u1NdX}jx zOXIoUJhE}L2rtN~v+-2pe7NI@LsB!uQ5;zpRPA1Cv3sXkLY_&{Zgk1`(MzI%BQ~E&LVqt z?J-<0*VbBtU7I}i6~v{%Wfr$9Oag;vfTMc}(bF__*yEQ)<}>GV|ut{Ff7 zYKXy)!bK66y=&+tzOC9LhZO6q>K4h~^7h^a<-6Gs^C&Zq6 zSxx+xah2}OmX6cJdU7;eC2LRqiII}Ur&3@WdGl00JXzUwYCA*)ouM^kOIzv1f@V1^ z9sDUog-Z%v`kAKr$`8KT1pY0j5uDL0o8G!6>hyR5b>itoUfg7@)FVTG9r@sNPS61y zWx@d6jPZg~nu~&-ZRo2bnlmvR*RI6&AAe?K$nrY8*0uE#bcT)=C zQOb-0SD#G94T2$g>+WPIFr>%0#&Osud4+>SHHr}hlq`=Qs2(*CHVLih8#KgW39x2nXDI2X@_O|pe^bt`ZN*`&k^ zDX2tV;R-{g-F?|!#(jpZHCUa$WY;jxeJ+E^yE#b64RaIPNbJ0!<9E#p3cp_ zu9LVwNT=nd@1$WXzec1=#RShs_im_Z1Xv?D?ug3hiy@cqT`-oke!m2RN%x;GP|Z3Y zZPtw-HRoq(xF4zAz9!q;@0$ZQ5_cg-wFU{83*?y#Vd%BLej#4tI)g^#(jlzfw0`Sb z=P8&Co2O8^bv3G= zWhUVV^252%S*{aGs!rE%Wrhbf2%0OU&82kf^UMFdY^nFudQ)~)D@%PC{L5Q`n`-V1 zHEv2r)nJAy(r|G?u;Wuq**^Rq`g3HHH@+J}Qhx{ud5Y@kzm&+8xRq!l%YTrDWl=Hz zmlAC&P>Bw5^oIw%9mCuOp)DqnKbGQ#-rgSzysCagcORcrqPe8=vb{=m(jjwX+@%=b zZpsf&nY&x-WwPQ@c;HP6GWZ5teWd&Q5?JfoBT&b0UP>NWO%Z9l-j`1~mQWF{dC%w7 zFs@(#xqT&bFH)|)Qu$WaUuKo|f7_Wu}U} z{L}latDRVW{xH*Z4Ge&tHH_Wbw$6Go=Ysv(>hATP7WX89W6tp{vq&5c&_F4Z<#g${oW}0 zjnV7m94uW&6GqcMq^WIB zz-}!%sEdH-abbsjy#BO~TLHnlJFR;j6~2)h@?4^b%K{8Uto>tJ2;R7&O9bnlEilnV z#bRqeM@`tskAFz4YYg%IGr_E{MFjeebvmp_j5{HqEm{ns``hAHd!+kYBi4nXO2TMD ztc&H-lh?yg^3OlVV<$fH=TqS>84=To;8ws~e9)331&> zhl9lX=3$J~eQ|RdtRgYLlx-jMIf%1ujQ4t%F8Q&N z0$6{NoNwC~`oIaSe?n)fb3@s}^M}~dam@K0Ve8t`{IGg&wlBoP&2oD%E)Rn{9C&$s zcgJPyUlbKF~a-0MQ+j@v9iB6+#<9h5b#D>KsdLKJOQZX2We6P4w7iBVlgUz%x| zsH5SS$~|2G365u&+C4J7DvQ1zCSO&Fs7Vxcaf~-jV+PF}UvQe@VO!JhaLYArGcSo1t}nQQEieo0>HEelGhslpJlee!X7K2jgfE4~(S zlPLX8+ECYfGA>YSR7xfr$6mgD$!Y_vU9Y#;$ZK~V2)yr_HHxt=XKL`gF`x280oK|@ zy!xi$0cV-zQ#=+@H3Tv_W69#a@%V!xWqs43j{Ma(40p7>`|pDu@?gJ#clyHn2jPG?b37WGI*XPqx9hV2`sMF~p%7GE^6iFJGf*$G91ET^z?d?p3 zx~$mLb%j}%^v_z$H=z&j8kmKLyobrLxTd$r*shoJ0QN&DVrd<<_oNMDaXY6 zhsWxM#hQnp30#89&UW~eVov*pUaqfSQ>tS0SzJF}CXf~)vIPzw1pse=$DBM1hal7W z1q%doeG15fH0OOvNbogP?K>c9m1DKBIH`T>d_f7(Vr*6E9c)0|*8>xk z_+UGp5_)pHG}kQ`CEk};!%NO{N=Stgr#}bpg3b9c2gAYP{EdV02ozM1IC|mdDBryp zI|j2`(6Y~cfxR0}6Ta!*OqYH|?pmerklw|=FI>&j#Qq2McbDvN!Ab1%}b{_VIry<2@@`0)F zoAYTO$W{h@R<3oP@c|1|IsJM3QK5n3&Uha7qO1*grvS`Og&GbG3H)sGuZnzb?j+lDVpyen!Bs+l*Jg#STsa1JV!4{44vL7UX%IWP7quB0Goo0VH z2$P&`{-}mzXSfFSX*h}}V7~zP^ytt4z~Eo*(Zhl8gbE%#nh**cu-(U;Nnv1Qxm0IC z7~Id=#yCF@gW%wXV};v>2$?sq(yzD&xwZTs@QL$}Fc=9n&SBv&-s9jgYxxFv!#OV; zCVD!|x3ck4;W6i1;V=qbbY2LDWUpV^r1i)2CV1XCGy>w(j~}yEh){40n>J^)GdlwH zvC#Qa1dM<@=jRbH4<^P}X+Hxgz8)X9~jnmqJ~JEz)@~5{81-`AsBD zVdbOFVB8Ya)Vss1^`|V??LDi#q}J=s6*`DWY(82M-}4UsR!?}TKU1Y+4*qoX(D2@) z0k^FDzTm??rW0~wLcEZCtnoB7E|2Gaaek_UMsPV_6tJ&XIXeZ=!$;0Y5hi;qKWcsJ z6PW8 zwLVSH0`a&ZflDWASs-*Db+XYg9a@|@(J%>qcD@`9NyS;wG3Kgoai3t%r&7Za?D7|d zQ|RXiBU|xkj0oQ!b#v;|x_V^k)DgkZYTZ+V@cKdcr5(7)>5!$GBSI8nP9o;(K}>Lg zCtV3x%MQR-6w;U#SpKVAF)xKzH_jW!XRiL}2sOZzK8Ff&nBSh7~)JcLIW!wb}B|d4q6gCt(Obm9esBM!~%}rvm;?B z>k^LsF%qr-=B<-p7uE+4B4q&Q+-HIh;a%qgNg%@#=L4g_%DO6!{%aIUkJ#g*VWD=` zm>4tG5+jD(!U1^tkkH{AF$R+ORfqIUvf@%f-F=o{oh!ybDE64mV<67w+TR%*&QHfc zLh-ais8b=NQVOZGF6_1`@nAsdrk5T+ZFCRwX{ie1Rt{j$ z0~V%MA_Gs1{}}?@M>$#scNM&ZDVkGK3VLrCr5ASIu$JrfMKHb+m3h4Rlr|rDMW$wo z$yqoSUJLCwh~Uz8*$X#U=(MWo$-&=TlCE2>sGXt75FKx%`A)MLUW~^CyhW4DjHm+r zT^RP(@?@PZF@6O8BvX`gX);7XgY$)C7^(3cB*ATZG|{tU;fnKgGAxF*PE!ixdN2Ab z*9ddB>v@~=#T0lM#yg|Op;;B2TgE|@XUJb+L1=(&&LiXCQ*a$E!sB(oanX@EBb_g# zVi_*y!BkkLia40`^XX0MmSi?XOwp=abSCGA6Cm7Rp=jL7(DSK>VS<~M@#6p9AI4M7ICrJ) z1W#wj1o)QKo19-y1Y_(nN*SN@ldKE*&i|(HU-rIYXvVT2FiYEsqHr z;dfd39jP`zg>#D;B8PBuT7oz9G>KL5#*C|S)w6gI5^I(0#GehD4Ry{T7MK95oOu=y zH4nM@cFFZfG!uz3otrISgH6t}7MzW(PW)A~XiW+w!gzfP5-mice5b_<3t^_S!U`h- zG!%;)YtjW-xQJq$#XS(=rJ{a1y_N63$f(&Tl4RKX5n`(;>)Ed4pHxmX+`- z{d#@~#$b3y&K>;s6c9^SP==7fomdWpb zNUNh_JhUU?#`yF1iH%)i&8x77f-^A4(ss8O!A&`AlD7zNP{bXvv`6NfGrHwEkp?bv z_BnS=g?+`(p*~jOvkRXxe751!g3n2O>hY<F?>XZ#0d2=d_kG>5yBanu3Wm;!>1Hr^!2n{TkQ@G zAnv3LA5~QqV*qsX8KG7#V!{fT&|GGil^JScLRco;3-3+QZ2I|mCgoiTbD)D3WSGCN z8Lxa-%rO<1++xCC6r4F-Rkg~L z&3yQ`o5UAaEc0ud+^}&t3nXSkIQFmU*-(J?>tHtQ^q%&0LvA(REo@!koG~3@)JIM= z`<`k(BR4gzfnewQ=@72^@YMA~;OpEo9Ts}DQ{mThPM;a*ql|RM%s?-BsB_&6FnaH; z6Q@jBZT&(x=d7Fo#qg;!X(k9Bzfehp6V3-_;^c30{%a;Ai6OMvtbWU4qkUY{!gMB` zxZJB0jaPyfy`zEq`C4bkOqi+Matii4Gjl)};4W7ff!FW7tY!G~8i4M^Q#n|h*PXBC z;P5!@tjU3iu-?h$LToXU6lV^rv6dI$JaEy{WA_rS=bVzR^vc!uRi*i!oCn2JC~71Q#hQWMj<#d#AVjTi&7S)+WmH3*>q2 zE^KQvg?tIW()18?q?RZBmrciWO*t{<#m@P&AO;(F<184Fd+dvb`2$692XjxiOo=fB zl@wMP)vF4t3Tm$v*mxL9I}t`Luh8>9L)<;;Wc3EmWu{A9pe%bdsa@J{jl&Oh?t|CRL}a7|t9 z|Mxjz6E+4!(A+Q#3QB?lR5XdAsHlK@RRKk z5%+2xb&tBYA7&F4${QC(`!_w>UjM)UXm$Uk(3XgOG{e_G;$%5ZYUyGsBUe#G zitM}XB=0+z>eEx6HJIuIo?4G!|0L!3E=sx}s9CGLW8eqlZ&h@79bnqYe;Z6&X(k_= zOpWb-5j#~$8DEB) zn^y9G_euq7c8>2)MHl_f@1{~fw9PtG@Jbkx4sNH$oYL*En1-&bY%5WFky+ku(QO9? zAW>T@xJ5|Z0AhzS@YX|UK<&CmZ}gFp7g?qj_MX~Xs_Z4@1lC45+lcQN0`$B#zcd76 zx`GD`C7)VM6ms~vMRo9g8H_o5GF+VS~bKxZ_Jf6M5V_j`~ z>T^h0XS+etRUFjF)L)b8OpQeb0A;>*CRx!dTaozX{v_Lx_Cp(g!jdG~FVduUI;2#k zbO_ZP38OtCKt3fp&AO}a7BEEp`Z{vlzOp~77Odo7rIEIk?r29jcCgApcV(+qSzZ_g z{;BcNksQD0U@Lw8R;w%<@zzc$VJwS|hythVrG;W{nSQR)P2!i*sE%{l=6{k(KlVEN zh=LXkqb8u4nhwK`nZkPxqlCcszf|TE*d&ACH?1t>`E;d3W343__EK+AlH7_P9Y$eJ z3D&R7C*37pHH_-|JX(WD%6eD%#c)mI5xJ$t{TAp1+~JYKDWt)JUrjb6?T7fw-7M5Z zWE}N6xxtZQwUiXHY-~?=@shTzY-<$`!fC^)qwSFNV8cUxYB=4dg?!Hl8Yv%MYcRPR z+N$e&LrL}*y*rC_t}3rQ@9He~{6!zu)Yy!-`<&8g8sGgng{a)u8ShziZU+pKI~(QQ zJH<6Aurk?^Kl_|I(iq-qB!$;$weI{kUM$R8Evb=281Mj2SH9AET-ZZD+Bhc4x#?oKZ zhWAUSeqe~~N~iCrfHxTj{!u%gF^)FVJ03osI#4kGay&I97rtpc&FcPO70S?;xC5&m zGEzf#1lS&|yez1|456C>+@!0Cye1%!J`0W(SaCXS7BV8$rThbun(b*`ACx3_<+*eip`s1 zCD3OXR?1zFgQVjpzN1vPFi~!nYL}8t)%Gn=@-`V%PgaXSkj61KLIWv?{H^dm|H8gJ zBZFd`{W7h^p|EHCNCqWGKNfYu*y5Fi+4+H33%Ai9_C@}?BHZq+6&B_FZG~U{3t!{O znbh3*ha zm^{~FTp&WD90&hvT<=J8MbI8cX`g$-K9O**V}AZl!$yy7Gx={*u>>^SaT*2Mqnp%{ z(T7J)qwanx(XLI9dqtIymv|R)8Zjl@dHyu=^K+~Y6Di0}yjx?DSi#Rs1IP3d56z}P zzgs_9vs#ng5bsDPY&pQoO3TJJ?Dybu zPUN<;@CB22@GPwFp*(&T(9S+Q10I;LE-Pk{HxTVxv#?n-=H9a@!pXe})SkicUeJhl zosF%;k&m1We(V7rkV}i&JN&dw@v%W;eC%Sy)+O%wOGG~`QKTz=%BuQ+*WrrHGAA*n)f8PO$4E4uDd2y?E*Yzr7F~z$5(7545-bj%6rVmJT==HJ;yT5^S`IniU|9O1w=%IQBg^-l7f$2_`1z1D0YSYw_}>6zrtk5^i(V=7a*f z|NEsB=+#M-ZdKmMrVip={*mjJQ7`-PyG=p0kZbNToPo4xIkw}|+;2Hf_afeHIq=6b ze8F;R4sPAC<&@%fWC`+4=U~!FnNs2o-eLuqLeu%zD{z=h;yg9eOYytM@!Efu>bBLq+e%XTW}1~lzIPlK9x`rp5cL<;z{;US zox`WB#J)3`A6bdM^5fc{Nbdh?D-0f#Ud|eI;6gBuBd}Twc)Xi}3HK4QlW4)clZ6ex zCjSgYiozVg-b{1v4NX21f@)dIZ%Q|}!rhL+0lGs;21mTe@A>MVs9_UnvB*-*+XDe5 zvRFirau=Pb&H~w|&?~KB6T;1b2Uq0NW03#PfZ0G=6I4JmvHmv~fFOUupB8{nfbx1F zsoax_9@!j?$F4FeNK+mM^r?3t1!cq*DFeYzj}0d<^<`6$K3`FpuQugN*SajU4WrKG zkczDl&4zsFh9K!y37`h)y8vWPAR_cr^|w|tCBf5y;Ml!cjRH5kA!*`kl(E4^jOOBl zBsMBuATa!0A$hrW5g#c`Di7C_62IVeR$(64@q|_2h(G0ptEd@8@QbU!D>R6N>dXnd zwSGX56;kfkf<0Bj-B#07_0`Sr`eL(O8N3_1bWGi2VMwrDNK?h+XD(0D-&m4s^KGlK z8+!0ltH~$Sc@JiUDR|jntIrVA&}F(cn=mM;8P!q&M`Fyzey{`ObB{ITBQM>gv_WLJ z4Wf1Y-;`2$QLrH&==-m{;~Hw{_EfY_IBF1Tn^>pQ){wFGnKi+N9_7J?!RV1mc#1Xz z8^+={lV4p!^;}PiJmGF&ogzy)cUnt+8HYsJjZyV0MO1A#qWxc(7L4kdV_tUPMwB7Wq0u+IlgGt%meh6dnMV&RYO(cgpkG7lA2H+L} z<}{RagEs3GBbAbU>d3fmX)#E=*<@20(xW%`qtpwlm} z1$mgw73=5=x4VMiL6^mEw!3W61@o+R!32f!^4Z54sl9!QPiJ)~(O^-p z7F>NRxiE;AuA@e(3G0ImTk(kW(BpkPnrWrPo7`?at;3Ve>RO9X@!RVm24OsW12s0j67xzvWC0@=FV%)pVjj*%PsYlD- zz~k##8!vpg3$~YN@u=tWpM1pzcqY1Rot_Tge;C)uBdxcGaSXq(0hJ8k^)^yK+XEtY zc-QjXSPj>zFkbsa064#}yvLdmtShq!Df{X{nqEb7g=DCV-lgx}KFEDu1+ywOfF+abFn%W#%R8qp-i>N;^ z*-=FlS4$&mIcy`v+43RIQM;`D5x|cXQIPkB4N(*1KR4bDdq0ZMyF~&kYo|nyrV;+^^ zDNqdxh*5S92R;|WaW_tfu708xs7#M@2Q5`p?7v-?g8EL9w+IjYSpE#WtAPlqpyvw_ za=1A-m)`z6>A9!`Tp`&*qwJySV%QYfIUK{I-AV=ZvPIrwF6px$X8pWA#iwtcHnmRL zMaRnFsVTkt0tdXil_IbW*WN}eJ?4vYdga;3&8niVqgh+|(QRb1`EDa6bJy*V!}a40 zwv(DJ^UmAJukNWW4I^lVm4zt#E;ljzDJscB{$oqjQ{>I!)3#%BX7S_ODchrDbBf&* z)gqD?SI2hZgLdEyi{cx0P;`UxwWwEjf1N(iMjz6rr7Qtp_a=Z?VT7qcn}R9*hNnQBK`@o|HmISud+CXDhxIXV0H-oN1gZVv7n(p$e8fKJ3_RzD_tB_~7weSv zDdCgH#eJ^&>b$nSN^`!LNp}6g--lSaz`o$&I;A7xVWq12>a2)AE8@FbB31y3NXUJsS*+<5)k$=Jh~td@H+@weZ@(V` zyDXl&A5&}&FWOHdJ;uyODU*y!+oVxGy?Vcuv3DGxNp??81{>s-eC+}1={i@84W$6S<`@Oc?ewuH;&$cvwNNIQ1(i6VGa%%Bw#o&K<@Ylr@QR{*zw%3ij z*9H~)|Ij;#9nQA^cWqTd$HB|BF9ki-mp3W}YdM?`D5c2OhSer7mn1Kx>IVgs(j~Pz zwxOlW`GK>kSC1E+PoVgM1>zHwxpJw@Texj7}fUgZc2V^^t8gWbLCMPfr$e$1=7P+h93r)SS(8VhN_i#sDT9v8Jl?&G8imK6xUb2Va@y8v z{}R(enp9cUW-*?zM`{jOVJmQgDP3fk5-j3d%E+f>tmu4wSm%nX^NX+MS6-#c6WQmz z?B$_F@GxbPDo1su7?A{X5Papk7OP#a5D?JGMTfC5nD~st(BPTOcOItt0h8AvDTI|J zMG#`rLTg54RJe7rEP%6noP3}2z#~9PC-BrGRNs+SIZ|m@XON={k5H8B!Fk9}V=c!j zfK-<7i$|!5*Y!foZI(mbv;U1=TA5J=maMH5jo7L<0Gp1?#=8rF`P|5cnC7;w^j|Lkg1X~M*GjN7w1BBr6yhm_at6L7#&ZO|w zpMLM$jwx0cN*AWNH#8}hr+EjJ}8d>(o0Y&mS{5bYG5o~}KiqXIq9H&&~U(R10CsUoV_!OMFP%FY60|Ax;N5?x`mUPQQO0rhu$_+_LCG`u%-?B#e|7WvhMi{x>sWLTT`Iu6ZOF*b zLwf|jLyIo+SU%PG+M@K5PZ#LhWm-3b`BllVV7EQ`}N_FNFrDsbD^-v>#_2ob&cxR?f|t4yvQLr2-RFc;8w- z2R(q@HqQp-4$1rZn9^?p%QH39lm=6wi0d4td$TUghH?bppQO(}wrxy77v=VbGq=YF z(JaA1w8}eRb4CpVj$K3;TZ%CL`R z35eZND*IXY#9ea{jkSN_C1%ZzYT(n_-zq@d?iV{kg7Z%YgGQ=M)4S>nJntlh_zuRG z>ylQX3k4tW1k)=PVwAB-Rh%UM?x6+nkQSlI*OX3z0nAsHho$sNe2yGgz?2L1n$AFf z)lxnyo@DQ3udB6D=?Mph#yJ}tchVz~F7e}PAn>ss|0;Ww=y;nyz#+ZM2mV1m8S$Hu zNFP?W{zCt81qR~_OCl>6eyC3@m^>p6nQRhFv6Z0N)RO9+?z9*+bLWvvi~aL%!*?v0}BRofjPtWyqH; zlq5Pu&bVmNZG7KFN!_gAIg3tOrL3h_qHtNr=mAIiYU83Ey4@VCryYVQH&`c zDjQPL02fpIU0NqXl27NO5h)>^vyN@BS2>K!r*UzjSqs{1aNJ8ji6jN@#rSRe#j<|s zhJ8ZcYJ3Io7ve`MNLC#w)XA?wYBA|>i04>&ZGLQbJ9!PSLL4-1)Tii;zuVgAM9m-H zg(gcU@=b12O2ba(9?~XTeLnnXZc+(^9%$$fry(Wq=HH%y9Q_4`YG4|LmwyIr8b zjxWVD%-wD^OIW>>{{9|d&bE7L;AreBEDgKyaCyleE04xo=VVhcqcJD@JV!4^Rs)~r zWCZ{A0?y}CeAxv`(8WG`2%Ynf_?MIZ@L9g}Y&1O^&ECd@yXCa-Hm$yUs$iuCYyhW^ z6_|;dG>9AhFH-GV>qPC(MyF}Qhvio4sy>)jKZVLqr;FfYea(w5qVcczANa{wu|6+f z%1f3KV%25d@8jb2HikNp`gR6wyl~iaOnfeEn#CESjX#W*nfAXQOJ{zycyQTRnmSXuB6n0QNfr2sUKcL zw`>wQs++N1yuuVSURf(e6Xbt0MdgZAgCk35m58zPl3pdaL!gUXD@8!rBa59YCZR-2 z1t{bIZlYaQS`mK3Y}b8Ew}o!kID7ujB??#BW@DJH%Wqa-Z?wH!Wj(-sPjLNZijI9a zYpR3Y{F&+n+V3$tr;hkojj)Uo1!DxwqSDU=U_~^B)dc@7f`qp%C{rYmdpW5WQzylt~TMP{8=?3RuUF_<}1G zpeYvvJN+ME80fi+GU)cewZ}Y=`~+JxpBh3U%qy-?6Tej}#I#U;NT{9`KhH!qAe~-W zD|p?j(Bvk_&O@5f}(0kKb7s?jx zhIP>m<&YRWN=aQXP zwMrf@(cT0$ODSTftl18tv^JSGXNj9mFV!F4q!0> zK$I3yM>5_VFDsG}I}As`+>W@`R5( z{3iCg7rfg|%C4sn4S~fny|xTjU|wp}9>Y9eg@QZTIgCEc;LmQ-?_gIP_#5ou`@GRD zEchEd@fOwczB&`hqZ|y?{(>DK7l2Imn_G}We8V@~0#aGXBW@EM3!{&p;OTL$s*O4t z%vQeSHugbxe)cw4f{ZKgkZ-HLV#FL|-9?x|jMB9Cl)^;|A}CaRJ9D)SF?0?|Xe(D* z=&4{*POgNlCbY)%uC|!ZgYN(}bLRzjsJrc%+hEc0w|7YG_?t-S;GruvYwjWe6mC=R z(LqQl>fgs^`xzf`ABw!K`JMaVJBRYt4FcyW` z%+^pI=Z`QN`P}|71YooIfX6h6Mw`z*CLaR7=g~jZ%K5RVZ#H}oWR2rZo=~v+4H58Z zvxPwrAMu3L0cS<1sr0RCH7q~qlz@^-p{oG}ZO8)GKEXWslOKHoF~vT9>j})ceiun% zl#f`P3+7q9r&K$zY||}O;XANx^1m(C6gyA--i7vyR6yJGZ{cms1!9E$Pbu7ciwLc8 zx+kpe(4hc6J)J0)i>PbbDq&x8O=p1O^G_HM47EW=u45+u(ld@r^W(q4?X7+_oxz+>_rVl zK*d0{CZDi$N4p_xT#0)}iaTX%c1d(wobWhb(`e|a;})=~Tuk|ksLnKGh=FgXeB6sz zT|Tas7&EjeMW3I~D2Zo3r#{U41^@FojbZ8*yz2{USnHC=r72O_?y_h~>cS4LWCES# zb6=1Tv)#bgy#SB=G=KX71ZfdhzohskyF~_cVWDl5ho-a-X2)U?5=EWE;t$b};vKrH zJ1O@W=2%N$w?{xx=f9-7ZrM|gHbl% z9rmv7{Kt1R*R!#R$G}{Gw+6UBldwxSeNSWD+(fuuR-y00Y_LAJzX$F9j8FMMUD(H| zy!-?GOiTFWk2u(J`OhCo+iMYLfk+ zB{GS#Dhk%j5b+-wc!o-y_eay3VU(7M5ZvLTmmQE2NxdGrGGKiWD%|{W35%!Se!R_sU3Q5E2(g{NkVyCvs~dhs@ZWn?zE!$Rzip9* zya+a!@ikWN=R# zc34PsY*-^^yNWy7vISU_%WTJcT9 z-~jZr2QL=0!JmI&&(fG>3O{epHqarS<-qD=wry}=*D1?9+mQk5?#&-KvDRSSG;n5P z$;G_PnbpE&EPNXTMYRr1L5bReFh#7U3QnVA$UoaQnBP{i4&dN5a$zapYc6m>F)PeZ zUD!c}!*iEA3xrnsMR(RcWW%?}Zgu+H&HZXI*qbGj5uh36E%sp`SuwcY2t2?f57w{N z91%5g?yT~=y4*j+xFTw%xx|CHldD0LgIQkyPh6NXe|a($wKTu-WH4m&G?TaZ-Nlu) zSiOKF)}%_%ze8xFcpoWa3J8~w23pJGYGDjlh~O?R55l61w3L5Si=|>k|5=Mo5z9x# zR(V8;1j_8FENx={$o?83fAC>-+`>h0)BQaKKElfp<7&s z#@V3_a|9vbdSoyk~-LgZVMRKckg6&7cKgegSsehoNy1volSg@nyq)+Eu#OeX9riw zYzXZze=9Q|YSi6YR#=0g8&Fdg6MuvVh*}U=&}Z9d7kIA-HO6j(i>DYD#Es*{8deY3 z;WZ7b4SWd~n+B-#A_Mk!%v^8NsMfgY4j&%Q!vk3`@Qemj^YXtO7Z`r(S*qO?mKZ$X(uTc!-{h7liPjq!jdTL8)Y zvk=xKBT0nG{pV=daVlG&dS)Z3)tb`ZG++$Hizq5y;7Dne&RP##3M<9tewYs5RGn^W zWExJo-3t=Gon&l0JIvk`G?PLEGrO$w&1Bbkf=$#ZXa3)`ZGqguGEUPr#78OeQDb^5%;LihWq%5Q0=z2V?saEoD8`kmM2X9y!rh4Y^<$=HpK9T+iF=W?fRwE zOe6)a&_Z+tw$BHGT8XLw_I+igNt*HzS{4-2uqJd%b*NT={}TIFA_SsFGvA?QOW3=K z=B}Y^0#UO0U<0<0*oQC8eZsIU1Wfu8+2GEgS_{jOmbYCV3{h6NBE}Z8t8OFKI%BqA z0X!>Fo!brv1{fRk?f{Vs=(ro+`-*okH!;N4xSrNHS8H67cn??Jkl~sYpFByFR9VvM zBd!ecKR1tJ&}-DOCcO*Z+l%-1z-3$kw6#Vk?ZQQjfnRULn%m~LfGQ3TXpFfN%iA_) zjj4`#d}Fo>Ci-R{9UD!QZqCs&9|mM{MH8lh{zGvS);nP342{b@!4lG>Jxl>T3T$IQ z5S5Kbbx%2O(v&sP&zhmOq9#y2J8l{9f)o5t9_!BGOhPCQ0EgKuv&ME^W%{ z0AJkQlr^G}{8m#I;+#CAi&ATf&3q{j;;LpW!>`SZG;GOfJx$#q|JSGW!UZPA7Bk}7 z>3Z^?o3Yv%75Jhn%|Z;$FyVTFclVZ7N#n8fl7=&m#ycjnupq5kt+wf<_mp2+OzOJ2 zO>?4+CL24lnT{5b-Rvaw^%V7u+*%Y17_*;35e0iwz~Ub`b-m$Hc^+FW1T)( z0?hKBAy1t*Gfr7gVsWR&v6v7aPfclSeOM4iR2H9_v;3w~Du{ud8LOYsNQ8vyR1Ej# zh1uZZ93!YJHxZ~DqI)}Cs}qtYQxLd_dgxF%iU|LtBowAd3a%TcOR}{~YS)ll1YMb1 zs@3TtfJ)V)_vwI#E7SK{QtYDPn6>$BbAH?Jumb|u5UHM035}0rb&n)0>AU4LJZfiFm>-NiSI4Re0CK3 z#@#F?#9=0hd)A=Ee8OBSnx!(FmS4AovFBjEvL)+H(dJhzS(MNwY#hTH(j9aE7}kKi z%CkY+rD)EKO%vKeDJf?X2lBt z8LVl!Z#x_aW^;5q9Is9;?L!PB@q~9Ur^PZiMorCMbr7duSDqEelAxY;CXOAWYvz?5 z*#M#~{Cy{cF6CW2voAcSjY*%7Y5ja5^|+@yrRIMi+^cRT+O<8ron%!s+&;QpL4BSW zkMm2ze~8Bx-Prslp6wvJKBJZ6Aavi>m35&R+|m_iQI{0;Pf3{sd3H*|9r=pSc6PZV%Se z21=9b_{1J84F<=5_Fy-0LYDSqOVP;#d$BE0r&RW4zSt9jd$az~?#b%SvS5g(=);DQ zk9lMt=1LI4f76$NQZRqfkBua+Sps(pO^i17k>hl@WZDLTvPb<{gx5FLhy~GEeUjtS ztr1`HhyiS#N7c9J5f;{`W1`Qspl}6wnJWgcUJTmn^#?IM3`_eB!pIIZ=L}+}i5~L4 z$!tB^&r(TUV{Mmf~SmRYd~x}jKajz^TwlCPhibC zqkzJA^6jJ8m!2<%BGdHi7EO7TI&iA8J7jOsqY-_Ur;WzI-QbHxv+=Go(va$Qo}X|| zLwmRns4~#5QDay;)#oCL$)0gf?KSLhcPSjVS7YksjbRhLJ5}e9K~XNk0fsWOn2L|;=#B_cuA;5xv~;$U0w(?^ zg>!Lcxi!tWnlvrV&BwEUaCr@{|0U}O+I#AkKy**@k}ug2((>=W!dbD_{Q4^fgB5?C zI0+xA<=;(0^?|(J*O=UU&7;4@YSX!=ib|(KT#~J{C8dC{gY?RLuk=KiH^S_#DVTDa zHH8f?`G)CquTw;}Pk}E4)c%~M*Wi~3^Rj09$C~0(!4G)gw`kcP{K2;j=PX}28Pd+P zJar0d0@d}!Q_!$;Jo-Bp;1w!R1f?haoGgB4PL57L%}0F4>cp-U0bu#T`j}Q(BMlh+ zZHFfmHmsPeS8sHeHVVDJ!jTooy-XwkWZX4A%0oXS$3E2o2OUU&W8bk*1-7Nu*GJPZ3tY?r*-Swof#e+a6Zl>wIV`HqjL&f6I~-1{C4ER{=d^y^ zCoXz6`Gp}iA~BPDPsav{RX-gQcU@I!4w3_(dDh8FN6tWDx|XJ7>`;~i(PQpkRkGg)Ky zY9No9$tJk0{3^Y9IvSHy|HtG${J>16a*F;E@YMQzK{Nj6Og5S7@c!Q;|0F*Bdyr+T zdFU+Q3(L&$v)I=RqJzV^0B!iaTvngG8)){NBevQMu9?Ro(G$JqfeZ>aubjtTIbfCi zxR~vs2fXVNW`q*&{3Ylu9Zy<{{X&W{Z(NG43z$gka`av;{^fEulU4OITb8qC1lJ4A zRx(4_ioQ{}S4|yl^XqQ^o5kTE@GjD_z8Is5m?yYuw6bg1wLlN}eO5xfmlv*NTIbm! z)=u!7Tba+U#6IY#j;U{W?j}iFd2~L|@ZRRW`GU|KWlk$#+lVaOcNG@?3f^WFzV}Oh zV-=fW(|u&tuYB}sY?00R#nl)l2VS)r&9&!C*03HJE4*Sp1YOu%)nP`LCvli0iHrHOZ8(p==MA@mstM%tx1+`t zeB*Y|+EF}x2Ww@2X}Ix&MV`oa?qCog;(riEo=YQ1CeLDGgG;hS_40AJiqa?W^8TVob6#_bc_Ed!w&*F zeA&{g_|?CA*}VVBoy!aMFqLll{{&7Gklk@huY_m+?q%OuQ+#U4H~j7%*4OTNk}@#) z3h%HNlkO59vzL7XhWEX_XwC+%`h{uXJ*3SqY;5g4L$Zp8iXPQg;KT&K_`3u&~cRY9>i&6F*k{7z%XGBYM#wb2!A7<4Z>n)lz&nu6E5U_U$JIXMw62d}eacB9aOigq4gS$}?jwQ1f}gonF99+^#@ z#k=-8m=lx}4{K@b^-{6c1j-n;}I-U!GR#SS^2ZT z7*y~>2XQQ=@w$hY#^#G|=||1&4zadG6U{TrSOPKkHvH0IrpxHk4H==cm*=M}#cf*! zeW{}eF%=954N!W8hU*8~fx=?cPK1W85U4wu#5?@!(eM>;b~DK?%akxSp~u*-jF}VF z`4c_H_Eh(^qvj&f%z)4?p}y^-q_plai-S}hy-Utn=$wdAI$a36Xxx)AXK&bsIY*6D zDFU_CB=omO3_Us%YWl5kzW{LbTeUy44v}M})xT%>TAp zB|>XjT}!-wYIP1;-J;vrgpB{&Y$uVby4iNlQrh_Xi<_w4I+Sd%&;}86p_S5U&z!xj zHq4>50*+fjPr63g*r^~#2zL<3gB=9bY>vD`HGP^F3O-$qTIyK zEyIIHD)@tz0q9dxLj^4sQPVx~-JfdMCTcj< z-9p_(sgq*9&OHXll;ZucgOoN+EgGUcMC+{$=_JCl;w+2fmgF9bgS(^Ojxm*Lg*C2? zh%1P(>~Y$gd&~*j&x2!ZI0%a#$Jrmi5rTeaA!P?Hc}Y1qV{`al`daE8mE(i7k~5zqKUr0X1Yk$;uee^C_p8)??3S zNMmQQ=qt#0G9KbszTgq_s&g_P!MWpvtT-g;tc5X#|9y%@_}&wtntTU6 zr0~2*_&4!z!6S)a*J|vetgJ!~{g#aS@keWP0VXG_PExD)CodP|3W#JO#oF zrFaPyq**j}`ozinXeD;|3jVSZv~n7kPlNpo6S32*f%1+(P|I|)uKYAg?ZO1u1S=VH}|Rftj` z*4F3O7CLgzVHA_VA za=f|!r8NIdLdo{A_H{-1Cr-j!4ZGsYiqF*F+5s~ol<26a0Ai8i@M2BrN!ry} zWVeZ7&_E$N$Ro~SF3ja!&f&C<;8XC^qfq34algQl3m|5FE&wGzp|_6>KS<~2E+ zR{!erM_AW!WcZ>%1t#!yd2&ylc9}JD zY&8I(*fFm06_s0$=e4k%5VK*S2$K7Mz{qJdUX-uy2XEI&cF z-~_35k0rbo(q&Qdspt<+gBCfQC~3;4{Dt}3k}v%Whl!H!`3u~U?cDVmB;@OP)HR4% zKJvrYfVBU~U9V%(jp6;TvlOrG{i**11Re-?r@V8VM6V?$qId)Yf4ahmOcBIBWaWd@-O~o(Ktvq{Edn6h*$p2 zg5BIio3+$xymex_a=TlsZpftbA%-S*s@fcKgkgZq8n z=@yE6#ItX)el5?%p&WV42i;l0AwF$|$f7$fpg*jT&qp{y2UKo_PQr^4?)XwRg-%WF z+w3d14I)^ZT+@*CeC2KMkUzJQq!x=tL;8GQc->E=iDFyv&xy;LnLf3Lb5ai{-Itv1 zFdv_>aWrx*_M7!K(nj~KR?fr*n*}3z<2yJ5hVj@tOylO%e~N?F^gWgRdaqBGoXEer z!xs5U#v02d;k}Ixh$>~*ce-DB&|Rj5ccV|SPzm{&_q)qF(;L3_E~tR}{K{RH4yIh! zdst$J`Ph4`QFzx5BL7=UgD}RV-1*d5z>tU7N_8S|k2Q4=FfIm%(j3mY-|w;dzR@Bm zii|fcQHoBH8inMnf&M5+MyLCrSoiUc_u0IR2(%*s&saQ}c!V~FRaI4fHR9o9nrE%{ z#RzexV6&cM1#t=NQ`j-;+chFfAJowvs^vJ?KZtj6rB7H2PG!BKQFH%*Kl$uSA8>p<6#-ez`TIv8`<8K^ z$E=N?+#X?wJ164GvL(GTyc!`n%EvwitD!E>dCcPKCdc+_Vw=!ZZ3?7fwImOW)o40I zDR5AfHU6+*Jb&;Qgk3yW|ATEIiMRfT#b``5t;~61k>T9pb5W1{$8cSTw>AAcoUix? zi>)C){|}fbCLZ>L4FvyX))N-sa=#sFnHthz>L^&m@SRUsZ=VOf5LBto)E9)+k7Vs5 zaiNpa*67bQPg#SEQDS?r?Jih;zT!ian%J*D#J>E9YbBsp7i;t35fjZm)k~wvj8ax; zift7i9LnLSUXou`>0Uwi#DVtrLdKeIJ>5=z_4@y!PG`gbQk>{&&6YDsS8Y=Mw4@w9 zlT>z>|NfN4>(^Ub7@jFBcq?^-u=){fx>~g8hUf|9)UuIc4E_=TCI*^gT+TZ_V;c2# zQKIgar6R1jg+`q3E3Gr-6Vgz`XFmfsWD;NVj71u+)vOcTt3*{IUCFzTed5m#wM_^O z3i0%imsaVP;&TR4a?R(Ib+s(l%Rf}E7_YDC!gzY-z+bE!-_u8ZH4QSzVXB6+h;Y4&CF4MAD^deL?1t< z)$W+1m*kzpz?!dm&eYDEhUtRdSl3xB4}HOsRr7~Y1Mz|K++jMqH#K8lpXa||-3Om< z+h-vhu)RWpG!epc1a#!jUIP7|!|T3cz5FJ&MXNQbWdfDR3<#^X zV9@7}H>UHsuW zQ$>ghE8~gwF*ic$iMfGCpDJsKTt-I>kn_?!lzhQw#g2V4vRL>rt>MW_MuJgG`lz75I zPsB?cB`yag)UTc1MskLJxI_=E@nsgeD_&A$Dg6gaN{BQzEi=hZa_*VbUVz#htnCB!pFUjj9K}s_JJ!B_~c;*Lu)B?^w;Pf2KPkdl;&97Lwe-<#S z%I=7N=OyQHs`_p;QWT|~9JQR_tP-C+T)<%U{w<)a%RK%g_T>S5I4%vqF1qL=>({15 zO_D_-Nr|G0nu~x!WsLL2!uS}aCwSXwdb7OQLahaNmm1ZipJlC}A#Yp-HuQbo*aH6Z z5&oM66u^Fd-va*gy&sbm*|nSY?qf5!r+dNxV^Z0NgoK@jo*o#(dcbc7PVAt|Et%im&UIAszQ-uZzbZ5J9sl2MPR3msrrLjDbgb%LZ|N~m8?xQZC>AD z6)bo310;FBh1MmZaywhea7eAXDapUU1YANC6^L+<2+Ji6v$O5{0!-LdHi}?%9r4M! znY?5MSAy+Az5=#dIa;yFTKuk!;xiyk9c&dnVC%BnR`CWy_M@F5vDSw+NGczBA4OVI z3yn4@(+EdXgaJg6*H@ZwL?edj29Xr&JNgm?{x>NsF2#!$-MeAUm;i;${ zP){(ZlrDDg11rg^ar-cwCp3Fl)n*ThEatmB6|G$yL=v!^1l4A{$V<_J+0N&!yf9H= zwd|!B#B8T=CvQblU@!)6#lp7Dx@|izPTj)5Wf1dKBh!Vc%N97anwtLv;o|~x=1+77 zT_@gCbCO@Q!b?(M&fuORBq=q=_>`+^DYoELDXXPOao^k0!A&{zf;uTdQf;=mzL7Uq zDZYlH%Qlt57ef5wDmW&b!qq;C0wI|9!K4Y{vadp8-=sgvgFLm9uVNsiEbDz00rqlB zRFnLkm-{L*K;OpKR@4DwW_WEyxJ^n+<59k(wxS^xZdq+b1E)Oq**h(vR>?0SYbs3S~HjaB{aY zK+)Kyv_;}6ekwo_q&(VJ=^*zz0y~!U4xH*Ld_d3DuB%w+meZM*MWd%D-$ARBGx)x` zih5vRT&}AaWd9~QvEWwLXWUp%(Zc3Q3nZ9C&wu5jC_MAmYictAXB%OsN^ zGR!j5SIxTj~-o^@8m zYPfvz83cH2m7R`CvO_9 zam0`nvXMpH+a8WNUP7-^>UHvRN21>l5yR|}MHqdnTUaY&d&fg8?~wXXHpVgG9!1?h zc*`l3Se8G8A9oLb>mR&rrcLO~$xt=RgX) zG?20q-#7gkW+hoAk5?b?i!d&JVIYO^k1#%k@8gx9%b#V{{>lhr^veS&CwwD}arh1) zCbwrHmK=5aHu3~AJicv^S@F?<6l)m6Y)OeQ{(!I>lMr`gAVp60xd+4X%6j)ec=)9O zs&qh|^NrCV9=vZd52Y05E}aluibE7CV8k`_oISG6*BI;!E*-s#wFrgXDnc(cv+a+3$I5+QW=k)00Yg7pslXNZR;hI7Z@> zG2Vy!<5H`%ERu*~DEAUsq7*~+Q69o4{n97>RT{=DGI3)y_+iLngb&FF%Cv|b{bo7S z5WBUe4s5^33Xc523bGTzdG(FK9*bHxZ6|8gIN_X^Wb4=SmQTE-jrg{G>ZOpO=4+r} z{b3vpwqxW`Rbt#iTAp5&tc;bz0uZ-)fRMHt`Dha)hZ9aLZU;Yo>qBMxCwh|cz(W0 ztNc#XvRf*oU;9vp@O>}RPp`Ya^LMRMy3)tXp_X*`tYR%!$fIgAyiYz-2MI5G{YOyg z>ptP@KC_IOCI+V(jsE7zjOi=#n_9!CxoM#;3x_RO(umr37_1{Y-vre=eOg&ymfXxT zN6wmdkQKhEkVg*qM!`Jti?0@D61krUV@R}LI>eKeelcoYUlr}Z$vpO7?8S~K^0D8; z<5hjz%eIOlgJae#2D8?1!*MX=1xsW4d<2bStt^?9^Jqy9%n|0 z@FUfaMzdNsOHlM(P}%M}wfwptL@_A3k}Zv51h&s|2SKL(UWDlSJkCd;R;n0FeK zw29JJT=dn;`CZ^i_<+!0b`bU)o3PL*u7CP7O?*}2LKnV|qy}h;KB1*yO}x3Y$dun; zW>;cItCcar#a`Q=wCE>XARmKyrEsCw_J=c_k8RGGwdF^zadC006K72vYml+glhVAZ%83uO2G^FAhTM z%)-&$T8@#9A{cBGSeGY!)vEyHAbi>DXmSdNdrRevAtGp9b2M=iJ|Dt;-pgHa3J1vS zz#!-$j|GmK_&&{D{;ytBS+CYJ=ky`pslLLyz2?Y3Et{|+Cc<3KRIG5@zd`{nY5!ex zXoVQV?9T((L4FQ=2yT<{!+yn{51O~Xfpswv#0>=mnhejb~lvdwXd2nVotoP|8mA9Vtne!((X3!*_(C)N z%n}|j2$^|8);!QFgJ&+_gNxu2`D?_)VW)cxpPn8vAU?5jW4tEKjS;>llY&BZ$9oWw z$Qoi9p{57+Q%2Yol;EIWReE)LQbS{vHdZ=9HU>pR9_VqGG!fK_>tvLnOxW9VLeNC` zxFEu(Ju#PrPf2}HWPC=C^nQ;XvaGolFPR=-H{~Z(^cc#EgK$CvGfj)-8!@dBihbgX zZKWhKIHqVy&wLz&Xc4ATf;01abV}jn9s|o1_{sTjgPGB)s$cA>%Uai_<4bjnSjI@3 zdc41r6^Rn=|EI@NRRc1RuE5X96iJ> zBuVh-u|Cuz&FeAE>k+Y{B5Qr3##-2DU1hn$3fVnpvPphOc+t!rZLGl$weF&Urf!sm z>cQ9%6tCwwyC=^|X;^VRa4l$HU;-_k6`t5$-cTbHHVUh#r9s18V`YWpp6cYDMk}D* z=0h9JjL0*Ac_1YAh%6J>$T)OPVL}hWc~+d^@@dYi)q`%0Z}rw@>+n^r-B86-FiMlY59STi7kBs@i_5H7rNgM{lhZc zMHoa0ZT{3fmCkmTb~we+OjenPSmVs11IBQC!10zdgOyGppVGgamN%S+(Tt`|6X++` z%#y4hcWY9sjI%hgs0_|U0nbLuV_IfukQ+>+3gUd7EoPxANz;_7#{TJ~Fo)8K-t$-8 zr5EV?%kJ9g%+#w(svTRMf3dcBXZqE})q%~tbfA0H=iL*N`G5%rf#+6Lb{nvWXx321 z#EsLqU1LjQ7)?Rd(p2LLZd#g=fzQbm&oVntNip5%Tb}Gfwp-eyAG^?n z2Zt-=tFSRbWVbnL>?C+ zz17_rTx`v})+N=2RwW!H6+(Jg1zmm|Fq5avTI3 zU7&4?xA7H^Rd z#rmKO4pxv{G4PS|UCFAJ6oQ??>I08Z!b>b)VGw-IRlp^-^+s2Q5(~_wh@Y=u&&^<3 zo4SO{UA*!FtM$%MHcisN1D%K!E_U&L7g&5<@J?ifU%L4F(VzOI3w^|w$uDBC*O@Nq zhprqBo8ct_;lbYDcj=YW=k`8Y_-)YUYTFBBRQUTDf3c;N4E|YC0h{;jE`=UPi(>&z zywz2!qbT0jF`uU2=&FsRY1?lDmspTd0h8H~wh0xG%<}U2uUi91Sj0%ZvMbK8YvNdO zGR?E0t8_OojwYI;g$n)OIo`9fWNF06qMpv79D=l}i@LO{SVb`wBt4FGajaxpmv_Zn zY%XK4{ju*jf)!@)$pUpA_!fenUYYD|dz=lvt+`ZOF!~qA7TI&Gl!rAnHFsu??XnuW zY6}2eeJk&XcVcf5=65Zw*67Q$Y=N&@da%o^a6>^CC@o8gcVv0sTvy9gOQR9tf^!R5 zDVEemYP3@+N(!g#!*lalX=K;Bj;3GZ%zjKvtns!_^ZkrM0}PmmDoVF_93>W1NQ`|l z&Z%k3LWdEnQ>(^`!5E5Vlt#_$ZIU}eGnBJu%WKiu&V^h|RjI6USgy*;?zr zI@5r$zT1i4(uqzzzoeQ=C99+7@Rgm?zD~0W2QfE^{1~l)56Ru=xZ&?~O7C_y`%?B? z0vmI&si~vwl00(r~8SGE+OPQ^=>f z(4v%1@w1P_3|~wB0L-G@MJ!8(DXz{wv9lprgNqvrO~F+9-|_GOcCm4tX%nbv8rzvR zjxi`$vFwT_+{aLsHDUXcQd}pk$p}_+v6%NxQ!qkArxe?%8B;9Q^yRP_Dpasu$qi#; zE@eh{PET<@I0g|n*zCvq1@F#~O&HCP#7@T7$V8WC3Y|JgTCG>+m2o%K4nNEzg?8c+ z;CNPL-bK-JS}(E!(^z`S5jZwJJv1a~H`sQgY8B^13YHgS+TjGSUYW_ZrA4qHepen;eeS6}@s-^TP&7 zeOC-;aA{3NP*c3%c~|nft7Z9(Ykd=ag>83Teomov;~-z*l|cZ%5w71s@;qS^a@=%x zpYx8+t9As6X_ZRvnuS~+L4!OUzXN^@aW0`*(Lutzop*|8SMDA>e;VOn`%9vH9%zM@nHp;RwJ_3zt<0@<`) zDA4C*+2|nEgu4wH8tfX4ROTx3+UVE8hs2B#Jl*dGiy>omDtL&@88Z@`WX+iDqCf8F zp>{6AFn7f-#f6MG5g4FP5&{F_%RGMaU;2rl24nqJ5dS%E|>zO-g!OX;p3Qwkj zOpE(5V8@*_8Pm{^FP0TWzvC&{Q6%o)WULf{ZuvYZDy*>smw&v>ypS=NnR5pOsTe61 zC>`arh6CgssRTYDBMk|rl^rNfKg%oD3${G##z%*H>fPZn3KXpy#R^uMaz}Hu7^env znF6whw8*<}@_R_HT5o+3vdEi;-@uJLA0Mb(57fVXo@RFZNy_6R!Y0a+l^NdXW%yBG z)+voau$hI8dM?Yl8C2w2{MaIJVT8@nm5vM*=69@hahXTKmaqGHUyhOSzP14tZQsi> z?z{|Tv2Br+^drFZm(i^>=jfb@stLxkczQOilh#tZ9WTP8q+xQs^hbMUbMBa>#Kdx zqt*vN~CDt#D6P0qalF15FLY|T>RLXD>pyY5uZYwYDX_ssr zIvfV=(l~r7KlZjysriA@ria^3rLx?f)<Ui(w-9MD7Xlv>j&6u(*kr5_!7`U-{oIw+gUPd7oPg=&y{SrEKkb%BfmP3=fSlVvg z?3hN}COqiTFFRvuN1r~HES(VKA4SVIly41+7msNq{|??C1oGwtaat{|m$n9poL-Iw zo#DP6Oj`7ETfH3H;1VnT05xorVnEhTK>OcJ(llDe&Qq? z+q)8zi*~o+*e^Hfd3e7KYs||s_^fhMQibIhTgZb{)NbaP1>VciNZEp@NLMKp!VG1f zq4LjcZ@c=8RL=*`{R!MaHHYxO%8ft19qa+m6v$P?##)q&UjkA_rkx+X;4ETSBZj znWz7h^q-3U^Ty~#bUQA_(JpFe8MqF|4uoC)19#BV&KNQoyXL<8LWm5 z72y(T)5`vmZP_mQwPU!#qZJ9Im)lS@#t3o-hjXkTeuy)tL@%G@SppWz)m2XoBh9UZQm(`ZgD|Gq)Zv$j1>p%wcWPA<5FTE;l;mr1wV zkeX|A(WX#ZVa6auX&e)^kX4LfuBNxusF>^|FV|Ree8d{c`)E|cu|qBuU1`hkrVMVo z7>uC|3WXx+Y}^0TgS+J-h#Dj)mKy(;z;V+>5H?6qB7NV6Iv8Yv{81B*>n?&oN?^uO zpDG<|%PKmqL9FF>7s{KWgoAC3GntbtzH7{kBf4MkYO7(HFOq@_lFZJ8T=1o(#)DJQ0t1Ovlj1}&*oMh2l za1s4NdrLa|EgDBv)nFi;M^A{GM>ZLCMPpje+-ddLceA8>70+JaZLgzyae?Pv?~i5S zP74+)+-`*k+V~D{R=Cv)dMZiv+E(G|)?_|pEcnrMA@6H0`$$^ZirZ-`T06;aXeM5kDl!Q*z)LCWxq3@cEC`$Vkmj%~oBc zR_QAAK&x%FyH?4ixLX?fh8Vr)V)QB11m#mflUk)C$vsCI!s@t_0hCVfjSP1TGMq2} zhhIgj?Q!=aq<$W`^6l6`*SibfwcLvx^8sj6H=??LktSLI&!poB6u#nbE_#6}FvKE-{N?|wf zLsMXV6}tmAl8l+q$flJu!SMx)Iuw9YucFs^b#ZG=8Pis_7RRiiY&fJ+!KD1wri*29 z;#Sd@!Cgvm0n@qjGOo@FeBC8`3wjRdiCC)pgg06YZe@T)2=mAnlOyD_TldW+mnVl7 zO>HfoMeF0Lic4V0(zPw?yR<1QnbP|I4XsaV&79S8FSafvwF<`8beYxI zx;Ir*ma5sB>QkKRqe;~Weyy`peU|vq&%{{IoEX7&p{v7TiwXfh408m*5`KF?q87Grr@K3yzmzRR;Fru8{CCi`h_x;SO~ zT|w7silO4=u>3HiWw7}(qew&QFqP2CuenzZVI;Ye8sm2UZ>oEL5@pe+dbFBwPQ;wD zp?oq|!gRaEXrXnVO4I4A1plL+ftGtrr9NV}Xy6VxWQm4W(qwrUekLht<3iTZVuBl` z?JXF3ki&~KiTzqx&tj-$Yg)AO$J+?e3H&ZCzz5`b+CkNB3K6Y72r|Kwm7AwrltE%; z!Sts9;>hJ0ah@+zf~$9(tvAW=j11M&H0hqCoq;b{O`gbzf@Ne!#%NecPG*FTJ^UBK z`BdqS6DuKxml#D_C2>)SxSN4WiWFL$A?>EWoxBD9rsq1WACjP%qrJZWt6t`IY@%KU z&yo9QMkDKIXI69PXGIwI64R^zPsewSFZY$Rb z%}#iRf`ulhq0Ez)^8v7y)XZA$VS8RzSLmbG*hs?c^+gk0HGsw$?l&ZQ5X6@r0dZ)Dxue5*@f95nC+jI8e(<|oyhgc-3@vSOqRq!+AeJjJ7{G{IA(Xl97ZevxiTFy~8{Lp; z2z2z~YW@qE8|JQ#ien2j9CCG7)Ix_7cDq`s z)hV^n11?bRO5R45=3^3d`Yr_w9gA5R9&LQHZXiY6{hHM8G?yQz)1le}AcqQ4&juRg z5g7O|?|u#DPzZ+NI-D401dhtzSYsMgyO{KR8(TCjw8XhghRQ+KAke!9&2# zyYK#Rwke$abpK|YO>-Yut7amijYfQm@yW$ULvB7068;BeQP0*j^6UxI`OF(ux>K%E zwn)l*MX88(j_7yf52UNT?d-eFv&n!0$#0PbbH>0P^70%3-GI;LjPQJi(xjbsUcE{h z=ZuE)1hT`tH&Gz(TYA`OyW+h19T}ZH3y&2&pIu|wi$av)!xS^jA$(Wia|EB`_#D88 zs;FbYTtWAzP6{4sm^CQtk?qoxe-7#4DPo&D3c|_0xef5L&&B^A9XFjsJah|25%v6$Tm$NeA>-yx#?Z>s z^Y!o>`C$GsT;TdIh?-DLwSJ=9eVcD}93CYo9!OceVIajP5}P02>DvcV;_%z`);LpU z<2O^~Ou@5K3qJI*=%fFuVBtLy^ROxG=uKp(TZMJQRpytjLjCJgYZ*CxmOKhEiXG^^q>GvB$ZS_0()*1tZ zv{@fY;4*!pV%h9i#$gE5^K`turiuz-3z9f$X<`BqiQhQ#EaQC&LOg}6K2gLyFbA>a zO^2=IOkO(JNYJ7&_86zyTNS&G%nHIxH%kCAqjC{7}0p$ZXYEs>Ygm{4X@yA0*^zerM>tZAcym#p@Uq_4;=S0En!QA@Rt*| z(M`)VA4cz;V9ij$<{``tC%i;4wH_1$i18t@kBmp1EqcTd(Q@6LagUp_msN;pqeh9b za$Si=vmRQgBw6TB_)FKx;YVh}55%)zl=q42*k2N49^f(p-;&gVxadR3LRaD*_h9^l zz1TI=l*lMnK1!r)4pKG=C42yGrN3Pe1fP;G3hoP7L7`}B)_X{ATpv<^ohTl)j5oUI zL9ExzkV7&}i1k)J-*6EM`40;-at+5mf(aBH6^7e3((NAe`xo&8%{rEv@%xJhG zGaOqBZr4fNVhnU#C+UkHH9CLCT$gZpt&pPbl^IR5V6Z}&wfi-(rXS6>?Yxt{1O_JQ zTfdP~$n_dy(vWx|VS50g%2YQyT@QSf#s3lAkoV|VH+aJPs=NO*HBUcoT#`< zu2xz_UVL0q=2LLv%;!zg@0pL26OTvXCfMJP&t$oeNy>_80aGa&8*jb4wDPy1daoky zt{AV({jJ7m9D<$U&)A-F9*O-#ymGkcQ2!ke6=zZ$#87^ose#*hg@V@SYCmFaUWJBRx z^QhlSme7Sl;o^R7i62bpayM?*sCOCUT)F(<1VqyQ!?6Gh z@mw1nr=SQ8vpUwT@zmPb;hW+>iHG8n`B=HfU*K#;WU}`Rxp)}|+*$0fy~MgU*57u( zH+#RIP~#NY=f#YpEWcQ)CFN_AasHK}p7BiBh^>_2;3%N;%faR$1sxZ?N) zTtl`L-B+~eYR#gbv~11tGYJMH&!dF@J(T7R;9&-X3=coKar699bx*3Wj*bqs^~Wxr zGygP@RnVBVyuKhwj#2PeRjV4hFxF5oY|IN#?;bUrElA)jGyQELPS+Id#L_2_Q^1CNzj#cDZL+^Z?bHeh5EafA#Cz+6C|^#rB7xjST8oE)t_dfAqlp8Wc-fJi2pC!Ch?9@y7JOHyvO!wOC3a8`k>tQjLtzyOIwls+D+-$bE-G_ zhlLGQ5|>3oZg_U=0M>Jb6FXNRY2WlraMxv&aIp~hyE`5Ut&K-`JB2vVH6<^+U>bjw zrqYEyXT{_3cwQ|ZE>eK>Q-?vev>=?urmy3ck>ivSBLpJ4KEEsRzk@hm_y{HWX!DkN zmcM=0wcx1{HcmtSbI^6LvX&3j|5Ts3x8VBl|A2k+f56DAFV2UL$*mVHBPL%$nf_U7 zFs7=3l%}r-QqI3froCk5TF@=>A=_Tc#Urq7FTH`P-@GL>CzaNN_ciQ}1vCA$T?`W5 zzaxr2Ikaj^Tm%L@4{0s?qLX>yXshK1FFdMt1FsN3A1#Xagwo(sOryKE$4#t zctpzYgxW#|wxq)^WXj7AVL`iI&cW4A-^*I>)0AFX&@*UmY$X1bw>&E@4GofAW^eXCu(iX*AXhq!dR-8{K~%NQuR#7z^}?W|%U3SK-q@1;D2TA0`$B zBNADGeW9ErqbjbDgpiJhXPKSZhcW zW{c~=mqfiDiS0P!_2Ir2pOK5bqq4o$Zdb=?GXy~&fWkHdk!=k+xsZT!d#Z|VDA z#L+$pvaE{L3Gt2_edrLy<6?O;9v?Hl5yHxTArHTiN_)i{6QXi{#&U7=lsLJ6^|UIS zxY7186-Dn|UHFS3%%AtiwfVod+lP7D(!E@(47A{a-7m=U2VV<}D?;J8$&+J|@vb#G;pPA)N+&s)+2liej+s(vQ`KB_$T&JKXDN#Vba_z6^AcQ{#mLKvD)7-A|L7SMf;wg=;} z9#1)coTc0Y4{iL&hyoSDMvj$F!scTu3fca1 zuADhgma3ElOMkHc{f{w4n(ZW?Zk1TaK`6|2Cmg zBR~8VKCblqr~o+b2@Z}{uKCy>FtYjLo)VTzBFay$dviP|E|b?8*0m`*o#i7cWuFEE zI4VbeHjQ0;_$(IweJpzVsn9^b#X5giqHZuT+?6;vm_Rc0xN8R!%jix)G1Sl$ewHWH zT!fmsTNp9t@9t$I?pdL3TgK^?Hj=r2 z9LpVZp}wK0m;Qrl+&=-wx@V=)%d+7f)I#P|9s>;-aX`niXG!XT*`wn0t^wR=#VvEd zuv5J}Fx6A6h>-B^NW~&>{(u5uG$xNmxxP8@ywY(9_fVv>h};cF$+XW$LsI3_pN|2~ zh>UW{Ctn1~Evwxf1AM$=^W(LVC-nPH^-;6&I}dGTN@1k|5FxO8>EyvxRW z>G{L_2{oJ`p@%2?9i$k&O8OpgZ}Vnnk5f2-Pd&lBynx zkBjTDc*~O>fKQylcIOF6%QAIk$-Lz^w+tLX!pqL2Ia=0s%&i`;XK=$SGro}lEGC&% zp=fqjRK=hxv7_otI(Jtl3JU3<)4a=CBY42x(^!Uki7TRL``($%>dN`uxIz3Wt@3&` z<6d6SwRF20%xZZ-cYeig=7ZNBPEcp+NoDnTc#Hf|y%#q=-mXdXUO+SCl?_Bk?ZrjV zJaVlj4l;=Uu?6M{6vL-#^$D>r;cZ2Tr|E>)bbZeKizhEho%cY(qQrc>al)s1DAo>p zIuK(1CqqB~ztZXsyE>u2-2b3*>$0@S##0Zu>MV>h^4g-gKPyC7TjGZSF;axK3 zy8!a%$;q&(a?E$zAUymORRCMsN<%%(&X80HqYw;OVEPJ82UTACek1q{)FU{p$7$Gg zL)2*Tg3?prTb$AWJ_8f-w?@wUWgqEFunM-i;K@e3++{78{BLS6 zZkW0<=>(3u4BIw4O(TiA;b`11-(yC+GKL29??h3`cEULd;pJ2Ltk@A-EMi^0?F#ic zX1RQq1~SmFIP7yulASbA{q#V{WVEc!*D$T;4fSJDQTxfpGj^6UkXzetv{8;%T~Lj@j0;7z4ZUoyV@P&O4a)tulMCit z2QHZGzXk~{K`ufYS$Q@B!$Ys1os0pl3uoy9H0a0i9(CW*-X`|h$h;p50xfbRcHDLD ze2(RF4WaV7TwFxGK^FWxjNJOsfA}6+L3615FP5jXx{5o=;*m9+(1-sd1wHp2A{7cI zZ~=(1BMhU#9L8C`Q0P?{Kl#alGp6p`VvHAUKbNNnIfss^p7fl1KyCSj&ImW0HrM;F z0UKF(J~KQC2~OZ6E$lb%I)(={YOHLdRn}~kd#LfaOW9&{*MB%4qvlSdsk*oyYd#$b zf56zS>VlAHsi!=zIJr~wy|U#d&j+NB<`sF%0?hvGys!%)mNdj9L*_ZW8pubbN94`O z!~^;cviL%za?eSu$GRHD6(`eif$X>t`~c^WQ|)uHa%83Nkh|1>{68;S(!Eyr z%gNQqOT8HU%U+H*5?mQ-T$GNg!3@>Jw6qJnj9?b8( z1a{JNDP*dxSBCyI#60J<&`d(og{saLxe?W@|?!;wyZe-P)C$MYtk^K9G(aypd zvzP3d7sfp&*8=(Gs_HtQaZkO0S zcW5)LXo|zJR@OwF#^$CEGaZef6VSy}uv^;JnNO@bUvgtxSGl{@HRYiluzd|Uhh#vP zkRv5~TXrIIM+<28aYun(7SDhjiF=zfgu4C3?!i;2+~4Hl)iIvjEm&8Rb`_(%B%pa` za51gJfAt@30J=l^=}e5#{N`YoLvA!jFl(Q7x-Olvuv-0Q(bV<{u8Y5F8|swMi3q3VQ8 zEN3)L=y})oC>K#?0&Y2hmVQ4L>-vtQ-@E~>9xvxsKs~#~kgVi((Z*m$D{uyLv2eVC zO>BDwPL!PAcB9;V)!^1AG6z02&`=kWXaYaVXu80s8tS4F1^kf%pBpEz?JwNuMCbX; z&5y_0CL{Nq>ywqXr(h@ko`z!)@H{RZu}3%5Yb?tlaOYj~?k9xrooDhLqKHcoj6Cf9 zWBPDz0nlZMdG||@;h@s8wO^wuY{c(RiRC7cv_E5w<7*LtE^D0z>lg1z2xx-xA~`c{i|A*iVsnh@)v_|$|Fh$j30ybslR`_E@tE{!~PD+o1Qdh1D?oBMC2!Z*bH z*8x1{-}%>cc%DqYogQlmg^PuT5blET#Ri}AAvhaoBKDxeZxaFMHEbt*1A~8P>Bzy` z8n9HJzg^0@JCr_#Iwvmu3R-hnTxyNjFIo&qi^JRx7VNG!!l>9`z+xNf{a-@9(yh46z&mBJPIMyciZjya{S?)PQ z;koUh>)MjNanhaHVS`7=*^Zk^|6@pkbJ#9C&-HudcXwC-hScBv0Lx#~nLg6;N;qv5 z%T`A5Cu-O6DxqM@Od%8Q+V%Q$kmYlN@mIVSC0in}o8W{PX!NIbg7Cs__t zyS|qGPKRq5`Hq}dEE%&TWy#1T-ilI6kNSC%N!vg_dB4&IC(qO#cMdK&pY7I+!X-R4 zKQxxw zBj5JpHv0zB+8+~Yxv$5?Ibd$Chn}CFWVtj*N1aGKFf=e4ULcPSOoeo^Z(ywdmpylr zpl(J~(z=2f7qy$rK=FicNk|nqtNePC^x1*#8@1Mmb`Z#S~AALiKGS$Gugvp*z*vyf|# zlS4L4vu~0^tSY5y&tB12KEXE%XOOG*YB@wey#04MXy6sQ8wW{HWKZSb-@w`b;$Re5 z>?0I#AI!GTQ9v9lx0fm)EbzOo&2;frrl9^t}B`1;k)+zbRmvySy)zD>>E7bXZ1@*(ZW}wGa`*d zgS`-*us`kzOVrB{%za70fZ(O@fc=IiJO^p^0xy^bzuNbCL55sBT0YXw@?Z-7rYC*T zA+Hy7S$;l?pIB0umF4s1h{NpEynS9r?GNL{0C?5TDM5k^`vfKIA0`}~@~bR|n|Bcn zkRor!CyvX^x(%k;Z>V4;^dDTMg4ci-j%Zm;QdM?%pef9*_JLUNvrqB?3|btqul9j` zV75o8vHlb6nQBy+_TbBE_y*v_!3;mZsNKSYH~ir#1r#654F(Qa?y&vQ5YV%h6#E+? z@Ze}$;%z)ENe5CxqHv-7a7HZ`U*B~{QKLX#{!$M&UoVL#l zh5OvTK4dOm4U`ZPF`;v<9%-Gr}NRx#5tx zLWC8Eut#M+Z!ZZ06E^IzFc=Ms>}_E%AEw)9jfCgoxyAi-u+?@rvqZ>R#^i@@=R z<9d6H2wJGI-!H-xx2F%9cYO&3_Hq%PaC-<7w_u7rI2>J`47(*9J{TK$(7Zbl)CaM4 z|HMEIW`EbxRSH97_B-rQFjrXK`W9%-Alfw%;Lmc0?BgR~CiL5F5il8U*-zrw$R8dN zX{pXbve7~Z4g@q>G`UC%c!5EcU<0Lu^sbe(Y01 zWTg~YY0mAk$Qk-`Tcav*F(hN?FKfl&Cx@T-BUl&vm-9%BATR4`HhMq?Cw05yt)na?4z<)fPf}CAR;O=99iTc!n}vJ=I{}F#&c2 z!+r#pwmUs=KZ8z=>h4VZEhOot>1vRDRsuxCOr-hh*)$KvZ4%yKNnl1-!MGt9{s2pP zf<_Y;Ga7#?DbD^*0))e5dvyYgQ3nr_DE>fOAwA#}ZrdRd^5H3aK_X;%=KY=4F$u;LmFz`vhcVu1bco%9WKh9v1b}# z?ywwJrL8fy-6pAp>rUx94yyG~ZvVyzBZoP%TLRa2H;Gj-`n2DjRam}{0ko*k3e(s4j5v?Q}LEkp_u|+mGTZ3%1#1W}I+McB2_0)CrUb1Mn?K6o*7w z`x-Ok!UFqGW*F_~L$S5joYHxxZ~?_Q4PN$PlVL$n`z=FRix#)Rn&J#T#`r4UKW;1@ z5>6?RA`fp_f_ z(oov@2j5Hstqi?@>Zxe6pS1rm6{dSHs<9n*Lo0~h*8#42p*?jPn1&zxl-5_lxcQEb z9`D27FE)0HHE+Q_`#aNM_^^*CwpT3ecJj?>UCug@1`jh2+v}#mo}wI7j}f26_^iYy z7oRG8-o&R2pRM@dymP^Nb5(cA$xIIu-XUst!f#c`Gm!UaZFQc3ny3&jbKO8-zGqYt zi`O#gsl`i_pk(hU;ZJ9wyO`%LWVvh1?jsHEVe8$)Hv{}g@o#3>JEwyRV(f|;5LP5o zL2qP;k4I`VSTQm%*H;==$LHh06_#T7ur!Gg8l3Po&C7=gr<^A;&s)1n;7bb7tK3aD z1{Y_DJK=-_6bdh#5^9}=Oh_IRoW%s0nGptNILm~30PLZ7?SgEBT&yTd_BM~J$i$#C z!gLGvH1mGuin)e7gIMl^ONqWu5S+e1%#YG8V8y7wt!`55_b$mU+Rx8`(4sSYkRaKc z=d~H;iX~7_lP5D2XPgBgm?f~LT@<6R2yFV=K}zicbA<(t(FD)UTToi-6y9{gdMZ-s zcTQe~*JLUE0{GHJqQce0g4!k*Y$AL<1ao^jLvfuh2~>1Srp@YV+O78W8IXq~@wW_k z&2!p!XELktf?w-0`-Yhi8Ta|gX4T2&Q_iNQ)eugr%j%~$-QL=8yR7*#G@sgd-G^h# z0MGLapPan8AA;@YXF{$ULn%w-_LNyL0+Q_WW}zP(W#2ms^q%k4iBqSpGJPX7+ON-o zBKI$kXH_|~(tPc+cd`CTX^oSQfEFsTaLoSdZ1e^=`wz1r9>VQznK&1R+O?T5TmIrn z_|(1*0e%Bi*}`b2A=U%WP-K+>^x8kmgizRFug}Dh@U#7HCZs^IeS8)~u?+_M!&xxA zD61-2bz(kjp#_P{;sf)1#j-lw6)Im0n<$Kpjo10qHB-oA*rOi#7-4BfW=0K#tbpe! z%_a7h8E;v|#+%Cv(G1V0G(+hpAcv;#uHv%zQUlZa;wjeq`2vlM517aDVnLk!4hoaH z33=|a zo%CE*`Da);&wrp>uIX{VuoA-w+z`y&H=${#j6x40)cMh1euTCRLcc<2&p*&DO_b+1 z$n(w+Y)dnR9D`Px9)_0`m&Mb&8~@B_V>2U-arSKw0RBdS{on&II_uy!XBG?;M(@k2 zZU&pW#`S&)t*=$@sxD5$$OP|@903#8 zYAg{nmPA8Q5WCpMf(EeHh;6MAP^{QcV^3_cMH6f6?Dw0+O z4QPE_crj=%620_LKS#hfXT>^;D%H{jT8-LsfpV*lWqHzRp_u7e|&1gN3Or*9> z#tL#0MI_60N>1@viPW$|^*V&X*wt+8pc4haW$8x?C%Dbf(61uRH(oWmtBNlD zjCZThqW=fu!D>3c7Gc`XUnJ62n#xxtQB%7;Vh1cc%&#Val$ybPlW7@<>fOnppIh_0 z${Tcr_lNiB}*Chj&Ng+qhdl9DC+jQI@)w z)ehF23GtfiL#=rK6!LYQwq7)#hhSp{Q^@49M|^q;aJ-BBSql2?1os_Ce&McbO@S+5 z{F&HEjftk)ZZQp7QPokRIFVW2X3=c}4gu828r&iTX9z+AD4M4a1Oe#B&kQ7QlKB0B zK=cE+^B|0C0goF*-kwe(&yg1vuS1Wj`bjc$`xKZQlLk?Pj=R_Vcde*WPPWjXy?{^Z zXG!aB$?9RL>MR{86T3g_Eae`=i+xICI)34Rn@rfzxUDwvNqn?LV^|l!#-I+rgnKUVm)K0+T zy`qk-Nme9GQN)co5N|WgZcx*Ia43n637E7lhgDw5p9O1Yn^eO?5sa=v~G&d1QV zwkin&wehGZapE2-6cfkvQ?+g!cOFdj9CJ4R6<_`_;o=kQjx7dL3lLjF2V;{=;?o9G ztpB^cRfPmZegJ%(ceCcrx$Hv~lHOFnoA1vPrK-=rL7H_%u9(n1|X3Bz9}1s^R{ zOYuR=M)eR1f$^!!Dz;YBUTz*jU2K}A1sZm9o1t`%=JCfvX_$O|jltw%=%{Y!p_O~? z)w{4r$Lh+eOU{mR_r3a%md1{J{MVEQuF|8gDagxjt?{8n=lrula$&|q-HK!torIyg@q`Sx_$OmBJ8X!;CIUO$>zk`MoFG)?dJW+lpi-8*pWfx|TP zQUp7Lb(aOzmx1(D1UKqFB|Z@$5K8&SimaS^Z5A>D>i+*g-QoMkknHwKL^f`(sqAcW zCR0o#{lfnOTGZf_h}WwkA2rvEDk)Z0nav-T+LN^`9{(^-dn>ct2AK&^-EKQJUKd2rqM1nHAO zm2VYfCm}5;qX^XpXC<)r@;wV_b|3_eqQ5N?=rUczP6zOYHU7O>N^kgfxB;UJN+<+K zT$7(w9@c}{6e&49ef)!!{1-GOx|%X5<;!qUZkFdx70cH4E^_?2ao*GdrK;|{&n4iS z+mzC_7@yqNmI$S$vJQ~8Pyr3XTZBCj4z`B>`ZpZNw`Wj<~e!>@KQfrq3JD9q6L1yD-CKss-(KUWOlRnaS{Aw1C zvX#7FHn^Qdyd;}ELko(qn8F+qn}a$Nn{l`UEfG<>9i)9OvHL{S9*4rh9fl3ACG+`< zY%BG;yjydi02gjQ1ueY7`%fW%pXWbXi?f3LCEfuh3c)G5bP9H)27K=n z(s+1_R`+t)+QTKYhiG+g2c0{AIt6=5ZEl+bCd5KMEC&mBBCpB;CZEDv=7Og%mG{mi z4LFe#b7>aEnrls^Hoz4`HN$k;G}XY38v3{bp$HNofr`H4$$2ymJI~cT8ZMWLME&XJ zpI{G&;DfT7yaIYRA3TkUaO^rv2Tybg51)=EkK?_kb|5&2<*r+LbWU*rF5|_dyqH~rg(wrB~BF{Rtencor z0aNmu*0Z>aLap9mwE2;T&Z1rzf!ta24UIPcJ&Vo}*s#au&|ct*ljl--?Xm*2S4+b* z!4&mOC-d(4)SK3tx8#GKpnm+)JerBka^QSgDA(SmvO|O4mMyn)$huM#o_0L{VaX|W zYsk+atevl%d&GSffT4JSzga+g>`RxSVA*nj?^%eU_=8_wNT#N_B_?Hlsz>d(DJAhX z^JWgBbPJ$@jY++BC)?Id^|FO5xT_`D2)Qv-ecSLZi-4bf!KW=EU*)QTtOdO3VjN)y z7m;nF-@7_}sZq=8-DiZJV0zPONCD^6!G6i^oom{?*(&|ZDkM~cP@>9K?v!!`6 z^B`||{1LFHSbi1%q5uc}Mn1U!2;(hYQ9!Mc>tg{W*S@d>Fu7cyNSRXHHa>DW_((Z? z^Ku+4uiZ=Kb#Ku0HxBSzELp^?d+Ts(`%?VwcDT7ue3f-$DfwwB z_7;Tl9Jc1Uz(oOGHDz^l8D`bMX%6Y3LFpp`Xo#p5<_Trs^^~l@9`rqbzXIJ^m-qXT zBxh(0AIT@(1%hGL%4b$;M6kLPb@f+Eggr>^k2jgrX}kU|U5KAauGd=)5ib zRxx>mY^eD-D`!}eaAZl+&6Za6(&Nw$*JxrK2q=6JS zl7G1pV{gN!tOQ5>DgR|9wF08;v>+Tn+P=C>Wse=Cu+9QHFjV0Yz{O2`5 z5Ht9tH5BCLCW!gY!IEQULS8NTXA3#;+H0vHP3EoF(l-t73pxd#6SLX&nnkBygnrTc zuaFnQo7XF;xofj#I(@&MV5^^6OFp%Si7K?<;9HH=zvd9KH}Nv83p7l`gZTtJ;ozSm zm{y9r&D*S_wc(*6gQ@VnUe#H}49#8iF`ZTJk&cqB8_0U8Z0m-pi3#K{fGQr5>;qHR z`qrXl?z0}E5GS6ro|+m-0LX_eWZ`(Ju}u=4pNLMBEf&y3dZ?0-n8y!wW&QrVmAT>a zhX-HK0P$_ZU&uH3x%F@abWh|~*-!hB-o!Pvr>k)US8brqNqsj2x!8qu)GN-WHV=z3 zerS>Eq7;b1rs&g{+s8$wAzxJP!u-BjjS)H`BEamean&GLSmh!nXY3!TdM}!_3-1;5 zE^X(wbi3o@+@P2g$+vF+$=R3xx`BE-r3p5OmcryHSFp4@ZNwsV=VLcwuD9VEHv)Hm z!W}nJOXaymWu?5+ChAMac;P0B@(dNV98pS9HhiFC*iLIVY5B)Z6wqPa`mizbjScrx zl<>P6Y*j2Bg|4wKaAFz=ZpeWu)SkxW>*M^++mE?krK{Q?zs3-|gpUDTk+_)*?wQD; zI|cT=ot9`l!y-0}*Q9^l= z0=igWti$KwDR#YFF0q8^sIDNmN?P-KTPRSTD41!m%ZADj#fUr@k77ty=27GVgpvYz z$WJK3i+}wS`8kJ(IB0M{y?Y}C^MyZA19!#x;}0{kNyODq#>`p zmAqTYqSWAzmCwPk>W`Aok|atw(pu7oc=+xhNu+}pB)e)<-8Eee8=FUm0w3r&VoNPPEYY}kfn$#|Q z{&pN+t@(}Z6yE6M8Z<`taIM~7srPqHm@E`nb84|%Ns9da4^wU1_`>(V%uHX}+Hk`T z;Ei59aR>0zXMEWX%Ejnvc2XakQcRq!JZmS=%R#(kCwX88Iq_q(VY z#B67FksP;P#75)J4_YJMY>xkt>gHeKwsBkI+t)W@2==|lVk@#{b+Ymqz-Cxs4ML@* z!iSw}{P41q*MYx6%E3%8O2zszn#s3$+xg;CmT!&@4N+2S5%7)Ixvv!(+T=-t$+mUUW+@=ScjZfakvxhNmnXQ0>4?*_%c zpGWS&>OR7Y_RtIvL!o==sblAL!jqeq=`k4a+I=*J9Qg8m)Q>%1&5QP7qj262Z1@Eq zyq_A>`(v%jAvts+Y)!q!UetE>(p)TMl5IB&b|+r6pS)U>uMP@oYt>erX{i{F?hUk_ z);jAKef|!ZnG%i|&?=}u3q}q)IE4SPpIY^HU=}UqHxJM-*YD?|l<`Jvxx>8^dcKvh zOZ-gZZTFuJG{|lE)t{+{^OAX@l}?Z>qEOBw4q_eU^WF!^=+jH|zVFos4W2h}d{Doi z<0H%SGj+@%wMfBt9Hb4Hssl=CUYi}O^q~RkK4cLC*koq|gFvc2pUi zfJDA+If$rUJh>d~wLqaS1h%4%Y;4JK{KL_d(EVlfDO9&9 zUwo2EclZq|*-#VsXB5_QIz;p6FTUUqNVYq?)nVwyyyNE%lhJMC%H#4egNjx9=0YM5 zeuA0@RFK*(r^S-20<8%!n;%%L_PBy<1ku*w2zCb}-**IRK;QERN2sCS_iF$Pac0>j zh&gG6HK!^p)H?YJc*s#4ltX#XqoA2GI6q1a9i){GR2~uy7Shq96y|((4)W6|%ki@? z^Eu6(j!_Huo5eLJokkNK+3<}fNkX4=KSlwbi%$j`^eYpQib$43@;eL0a~AS6yRpiO zu4rt2jJH{#PE;ngm@Ii3paY?@kvbJg&5LNEs3~pf8dV*YZT>m_>==2c0u6E17Gnoqae`9V zgOj|`Nix-Ihpz+*1l<;LD=ts6g=IZ-q9g|+Bn?wfQbT8XRhTi|t`I_up_DY0?>|X% z`#!~Hz2l-)82}waSBh{O8!|F{O!w4~9v7GxV=TU73HRgu0^rgbBkTb=aWf|c4i zGi%6f-TRrq7SsJdf;JpA>Xj99{3x&FYb&Wy#{MFGAuvCozX050dUeIuk5b(jj1m69 ztkDpV;dG=n%a4!WWuc_z^?VN=kz&1QjiE;iwU41-rpqqzJEv*zcTz&{(sJ`u#7 zeUU>YGNOEqRF$fC)^*@VPE$}uGkm!&ZY8=<@Ci>by<$E_nOsDDUj1Mg6KOBmx?_+a zh?SDjt*ZGtZ4o@7HPaMo%Ezk|Ib$u4%SjwbBfjqp)y=pjN+qwA*;2tgX>MxRIIk{t#ZrEd z&qxXvd00q&JGW%iP;z0FzL50Mu9|4K@?i?hhK;X{XI5!CxzkBehJ4LJ{jHyJ#iHBr zzALV@vxa`L=q$x5PrYKE$=A7Fb3^lN^mBKSWc#Z{)!pr1?Ks*aiZK;J6-0{f=VXd` zKs!WCQdJ=uksK7Ab!@$zmwkF6rALWo<+oq&u!lAYkRtn87}}D(mUTw&^$zex|b@FS_bxb-Eb~deAO3$Vt7+LZ#72J>=#pNNl9~YFJUU4kOI%y4J%45>@dV$VtUlaIe z(zfM4UB>DED?f9YVs$ppA43EGBmPCEJ$_y&Js&~ON3gdMp|x|`c$ii_I9Iqrqk5pL z_3!c{rQMgP3Yj6Rl4dArd7{?LjQ0DY_jqE<`p#l6<1s(O-8}G zd4oYQc}5}cQ0wX|i(G-5D7D~uFlGSs z$kl zS}lS?kl@Unu2Dy?ipdy|Tk`AWSa3Gis;vkA-AbN%jlu`Kot|xPJ2y|A-*OseWA@OG zHHgnRQ3S@yEQ3`lsl-BKjKP^AL>daIhKjga(0HBUT zc=a{Xh4vMYxmMf5otv!*Jw=Sr=K0j8$a176ODykqoq}B2uQ@Km+Vr7uQ6@E3gON4w zI_VAX#0bMWY(x-vLe9{x4I|aS?{UID(1Zrj6_J|z7tk7X@D*5^l4i|uSCn#!Et*S> z6EPW-H>gGP;^kuYs6NEj%%1&u$OgpMJ*!}Oy6S<-HgjBI^5E2*aF~nh6fjV#gv@%_ z4XUS{y&QTN({E5CyRstW!CKgNgLHmdF{V%P;J|e-UP(G0cY~c$z+tnd{3#GMhhM3= zlS%NSVgCX3DC)qw{7QZ;^F?c6L3CR+FhbRZfmt&~#6WhQ($#63uhX`!wP={&_2blV z(wX0z)_6cqVcD->({$j6e#OeR;r_o-aJ)+xTzBA!#RJu?=SdDY_HpK4Nqd-eL-B;c zR1PPWE@~~sidJ7Q777Ty9yyQS$8 zq6YMXcLFHqwx#nhDIwT4?hAh5HwbL@^Msoe=%o@(|H!=JVacNEs~WgRe9xae|0emk z-Vw5cP3hofHN{69rr-E}MAKz{=_Z8%Uvs&Im4A~ryG5lCfIPcJ+d&SkzD-$<6;JMi zm!eKqLp-Rv0~+!JPr5@g_OQu!ptk@~%w2*SWF8N?OD7@9dwv&u=T|)WchWR^l9zVl z9;S3Jd&p!HYosnv7!%&#!|cIz!f&`e9G&W+}V!!M@rE1F~7i>}CJ?=22+&_z#0 zwg}Y?W-82uLKPZX4fx0dQxJ{ia2xF98MH@)R8F1YQFI{kW1(FXJK!fQPL+bVVA(+j zo9>%dIgAWXp98Umr#&HAE)_KdZ?gJKfJk>g6^jV?t<`P{QO?lNkNo5l4C9Zy?Vpgd zP3Lp}r13P|?C=*D*&y)br_|2zy=cG;xIxIu;6t8Lpvzw(;-CFlk_!3qr=<3~En-dO zZ@pH*z=VDkq4@G=UKP+Dhurb%Q%t2l_=l$uoE+nI;kLWWWdRbQdcvZd$ok3Som94u z#wC9yb$$*$q(%dW%eaPkX<#viB5=&zz+3q;eE^r{O&m@&SRg5 z{p3*328#^Cu!5g`M!qe#qW?wD>l{FA3I4WiEs634I1H~tc0h^OmDY$L{KnEutXOac zr*ru^afec5Ei^TRNN!s0nLp!Y*Uk)0f5i zDl69UYcIjNzsW;ifjZv9yT78Cq(cH4eOGJ~=Bg?0g_*Ki#Dr0FNX%g>7VpsG*g-R& zV*<7X_I#9(2-sAFH%BR(Q`&&AoPR~LK5l-4N)2b6RLlk$p zOf$T%m+99CIESW@eC|7Jfr}PhRwqexfye>qiJ{m+(6up-(DxJqi)9S%AI#bjfk4o%KvJVW0CRJ0*ut5S&>ldQXlm|rGS;C4! zA+DrE5sS&>3(t>m__v?>i3qUUWKT_HN^l8y9*?T2aosMW%xCvMOdS~&oe-fgVR=Efykx--)F&0Y0Tkbx@T=k zl!1rFu$^kHEX8|SPQCEQ>@B%xjTbm1u$gN??ASfEq66&f=Ht-iuzh}ExsUI+~m zs@|%ca__;8mQNt&2W}fDR!l3*Z5S+|fb@Q*U{~n@*C?5WfiKlr$sq0FPByFwt0?9X zHY^|K)EOH##A}KW3q??jQgfYf*X3}`-%VgrbhTv*pbv7}mTh&76d*Vm-R!_5#+V25 zm3AzZsea&&_G~>J;ydkGLrCau*t1(OaXRS0fU*zd4IEi}(CS|~vQgw?KI6zd2^@^y zRZQ)9ZW2n=7KJDxHPvt%4VTnYDgwBV6Z;H8YFq-8OfkH|3B?qc1D)9+hLiT83-iZ> zb#i6hf_8n6>`*%~6@BS)qZF*x(-RS)8SWu=WFTn~gjSaDTvyfy+_+n=%$*jRU%4_D z3hXMfVmcSW3l|Nl$(B@^tV-^D+yb+T2teWm$hI|>zLcrWhU|){T*^@%G7$;*iNBuYC>9l zr52&#e2EX6MajHjJ*E@7(e>CoYHog9kEyBlnF+|)w>>odr=W2sc|AYOu){puk3|CU z$?(Hiuj6a|kiW>>vOXJ0m=LQPup_~*$6IT33CqIDI@E$*h%l}Q-@$CJSoEg{bXDCx z7c^UL_-( z-~uDq7+E3-ePg7h2`-aYHe4}wM#r**Y=u4~*z1M37sHx&u(hztfPb=AY{nF@ZUrg4 zi9hr6>L38n;aCD5rs3^zRUbO34NvlCfk5P@`eO*a`5*o)*u_ae!mEaL`yhw$JRpEQ zp+{!7KxRkSgnfcoEROhLL9DKG+BnfW(TlAPuU*45{cbMMmDeEBm=x~5~0w@T!vNNaT_ONjlnYIu|thVIs z$l$Lur-N>bMGXfAGC$mqjk3AWFvx(>*0OfmwM(g^00piQDZU%K?H__{>i8*eY^;?M zGVtYE7U0tAQ|!K)*yjA47E68?zprIWn9X=|b}$=5G}8RK5t~oUVXS#(2zC#@oNrMw z{2bJ%iaAh0$AUnJ;zAV>HdwszO<4Pk>4Mqtyv*yDZSZV>o=0~M6}d2oweg-R-obpu z09wzo-N`-TCx`UX;al!dW&IfQ(-dg6R6WY>E#{9}vL;ZQt=ozPIVMi+s?wSwGhfMH z@y}bajEweE;jmwy+QZZh>I(YQ1YD6~44)d+N!NXvMp>m-90`iA6*QWqPFAV{<2zLe z33MSoa$Tz+gCp$MO7VUZ4@kq~X=RP39*c2EVj%%qwOXxA(7Va6EGBh*-G*7=Mw8N( z6uD?5+02Mn-%3{Bp6MCn6|dD)DmCEZ=8r*=Mz+yC5_4#_2x;SV$vM_JG*bk#+^5P} zbMm585{o*Q&LV=m-8ALx^&tTm6+pAXh8O&kB6wz_E?f_!{O$& z^*!~b!CA+r=O3G%t-Uob`1Z^?L3N#=Gfiaz$A?O8_Pt|i!Vd;~_7vsMFK(pR1jqQQ zL)36O(si@uYgN%5XLPHGcnsM1$DtIhn_;YId;7>Ui(G7JN>IbaBhyA_T0dIIXCCU# zg>pp<`^Mcb6Ybg-+DWo1Lv9<^N2zC*is{pe=KGL_F5z6h0!J9dbI`7Q_agQaq!~5#1OZ z;2q%c-B}Oi+DxFG8@sbqn#J9Eusg7p_`3&NiY-4sfo-8YZs^JCVBz=f$@)U)XlGBB zMV`EMFE*Go<{x`8XXu6SExp-G3Nx?l!-kRjG=Z=M$Auev$x*rpT&jmdTJFc1xlgbr z{E$AHT=vvyfh0;=FGLgepjFR`zEJ0%_|zfazf;J9_|z@oV#* z0qi`{Yd$N9t;3<*Dw#E-pZVZqz-;47li4=Z)HMZ1X9gdX!oC8ZQ1Jb)LLC>^U|}LQsIo$nYx=@2C}w{qRla>tTxOr&HVPvnb+vDF~d z+YQG=>%dcnvmTH~?->rHr2)S;oPFy?smPRj%c7~QR{LkGx`8V-Vg!;e@TDU#c7O2` zBiLx?1p?~cJRjk&25tnRM`AJ_;KN6%a4p?<2!z{PdT#~-+)4P>P-T`<=`kI>6fvrmbilkcjqM=6 zDgS|REX}O6!eo4ci82ox&Hf^2?)Uu`80IOy>sw&DH~Fh?8AN;hz*w9DtIdtaF&NM^ zN6HHyNg~ww7fV9oD-~0@TeE#g{_ld{@SfkJWv6+AiI^tsc-2I97#+@D<`307x{=En4h~=oc8cHygy0&=1mMwtK!RlVD;*37ZG6of#E`1t%(MVcS!|5 z`vdc=vrfbyY}_$A%vC=y$1cSlXBMf? zw2wJ%3R6H*$9v?kAHf!Tm4i)e0dJCv^*oD5 ztXZpOrXWMR%|V7y8}Y0TG7M=OWQb{x_tYT6emow7gAA3cf(&yz1{t2l1sU4FiSWxf z9zPX}YAK&Im6d~VG32qPOz{;@%VT3gmybPWgyJ)_@YsvtUa?G|arT zh?U^lTpqI$3%P)gUWxA;!@X9qsml0aS^Id=D(rf#xYKG3lPA}&M)Pd>sntwqmuuGG zzUjT@*fpSMZCu)e!^?}-G7Ahgiq_%F3;4x#AX{Sjp!Mt^2)w`zY%DceTd;ues4&Yo{iLUi%xYdy^i=aW{in)#dSQSp&u;s7j zLEA757x4kx*uZ+tdg%1GG2$Bk=dl_W!>3!Ro@1SC;J^Z)ff7t_(4Lu*hTi zgYE1J`mB5hkg^v1*$yVGw^TdXWY}lT-N~A}9q1#gP7ox9H_ zk49-MbPDuyE9Z*lHhrlMX;Hvb8Z4xEGfvble4&{cT3oSa`)Av^C_>P7NmsPm)o}5@ zJI@&_&_6p*C3o13ZA|8^cC(QgtN*8fA`v=r&5}_1_rJLd{wMbm5$b&2!W6AiUF!b} zH2;5q=8BN*2}?rk^MCiT8CHmYS~^vPa?32-e-DedmAa|?ldkda_h4rJ&Wrc3@8}`- z+lyAN%Oz4if#WJzF@=W$rk&cpq{$t^xlbfJgD*eOOF0c<+5I zLe+iXyx;=wp>5G;6L|4HOw-}~mwoJSYQj(L$HaTcJr1z1{LaORt{&bc@;z)I;W07E zVl&zTml8Q$EV}RboCB;S1bP(**ipA>acDDmpJg+e1Q(s{04XXdEb?xV&UVTe^Y&UI7|b#GhhB!Ke# zg1ZLS=^Q4dc8gdX;MK*W>=z5&5hLKI)k(#1|D+$x7Ge4_EW1h;{Bgi=b+V} zeK{)D_5W|SH~-=ot6O)M0KVK#RcrUGJ?+-dqU|CaC1h%u$KX$Vg9z%DSuQJ7l6F?G z!eJJz6;U-Ga3v1A!tP^iV8+p|BC5L=xIig5=-;7B9%l0O^@ zi!Q$O1!8D|C^PPB%aFjKGmi(-SP_JtYHCU=t*k!EJRKr)bO*Y;aoQhC5lqVM@*P`Jc7Ow??>#V)X8em5Y=JYX>CYP5uX)hSsb-w z=J6=%E`tAMTK%cf8?3M~BC#mKvb)wEo0-RJVKZuQf(@a4JnsZM1LUCBNfyK^oO$L+ zOi&ws^(2tbzj?n()}qT(93G$?%7v#Ju-V~17-F7vi6{@w`JwJ-Qz;yC{b@PoTA5C5 zMt#Bux_T0%;6UB1DBanBC#y z#mhr9m-ePG>FdXH!T7Kmd%N&Hr&v>!LZn5OOGn;X)ExZUkk}+qGQuPu+am=((LujA#B`n|uY1Fs$>f2t$wn6@bp|7^xLbnCft!do# zGz-wSPP5X+mqpxVW2&zYwHL2Lh&j*Qbg!P2)_xHC%muh@|I@6F`j|+|*)G{W#mO#t z89q7+k&o02A0LKC%NL$zy1)jarJyBo-L(7{*wiBU$@0SHl0|+Dc{F*M|2WMm1PxMh zj%hoXzXFV{#iBn;#%nR?CR2c<(MYBMT$_|>&}0i%>a`fa%uE-;;V%c^H7Q~g7_{*r zZH(=BohsI>&I1vvDYVx^fS+5fVsuwTWHsW6Rm>L*(n(dUy-_2kBhrqGv>YSTtB$9- z&s2HE?fVKFt|>4l(P~9y8k8gOOILyALcL!?b*UDOtv>F1{-FwcdLa)!&l-CV5}9r{ z&D0l#G;Gdt!zGoM%Ekygwezfz>Yl(^D|EOC8z!wq=UKbvt^VsX`^3njEKzVk9o6DL z-`MBVH^NcJ837Cai^~PpqL%NcjG)K9xBz}C=I{j;t1A^94=eAp_`QkeDW2VqR>{5t zJ=E1`DW3bi`c~FAAK-J20y$k|o^G<}1Nb8W_n_;E_^y>Sm1{4u23{GWmLT75K_Ri_ zT~FFNS(R>`dH;*dJEE4z1Kd1PhxJh2^@NP=^cw*$U%;ZcEI-iEFcJK;CiG4xTKis2 z_1!4+cHi=ni@*(E@naWR+eUl(pRFoIx0Q!vwo_l)Iu70QQsh)+=QIV9@ z5P*Fqz`(Q(wTE$TO-@72ufA}obvd_>nV)sR9q9X>%PhoWv1raedzFOF13JpzA_eS+ zpewAA_xS<#m72;}+|%MvNzS4uc^MpA^TAh`(IL@*h3zj%qj<>`R=3u3(G)oo(t3X3 z3Q(YX{NoiSi>>x5(EM-s_gB&5d-=wzte*Ey0sCp?gBS+aZxjlf%Wqs|t!k%!jzrDy zHkHNlpB89qYpyYk+X8FpcP&v6K%Ovk34ks`!Zp^yrKtcOU#Y5tbACc)Qg^=e8f)Uv zwjW~A&s+JqYrrtH-19n6YAliKz-nW7>UDOR*?-OrH`qN$vK#)&Dq=Q>f<8@xK_9Y8 za)%sM!TE-I=uuiNk|^^ly-L)a8$V1H$$N6{T zE{5?jxBZ<3*7gx?)>6CC)@f9ixA~pb59)L&$k5_`b%(QoX7TtLfx@rx1e}u1dgf3Y_r!Br6 zaZ8t~C3qZN@3FD9cZg_h(x--O=T-MuM8;4nRcWzkG^8)A1Bd)^G*+xY-<+tdytM4@ zj`7`V=`y3@My-kRzy_jKf|i2&IJ^ccOTLeyVQcWZPAP40*}6f*u2<%djl%DE<=k;D zm|1w8po}|UNlF*7VM>@(gUrQiIE;}h!uag_%&E3R-%0jb(=@8s|FBn<9LqP{XN&5b z#pqk@sf1x59T4r5o!{y9@m>#@*7@?ksZavh!e>8V(O|P(eE=N(A$NJm()_n|Mvnh1 zQ7aFV4!jL3y_Dh#;a_P4SW~MWvL>N@KNCg2wKNK0Oqw~DdWkSZ8#YqCX1KW)PCUy)*04@z5fw(pJC-oT=gl<=$x#E%Qy5pYe+07i2%q$b&B>6A@DYN?fF~9Y zT2Q0HP<1cV(HUy&IPc$!_aNLO8j_6j zS+8i)+BY`UH$c8Kl=7_kZVlD-d1oyr*Bb8mF2{IQ;C}d%uMVXQYwYEr`og-VLV|7+ zO%gFUd~8lj#*4tr$~!d?=g%!sllA<8PGsdDth;R@nts#3gC4U`&)AwwnUO(KSPZUp zZWbN@Qg_H>*4*cQCuD^4sLFp;R8jRPf~| zGNFY{Y)iGtp8zC(9jVcL7N)?VQPK26emZaP7i-a=cVu{`$tDEp8ujO@Aa$X~^;+@_ zi*5$w6BD4Rk^UEp&=@~`O3qV@e0B(ZD;gu89-{l~?WaK)&CmUX72b$DJ_YloD^GmN z`h(i|`6=^rdff?yX9s{=E6jXZYx| zeBCqV>$E=s37>lKCO`L#dDz_&qXQ?ikDsvt`n3rtxhgbMR`7P}Mj;KGv0Sw%<(6o! zD!XEs=*?e607DE=<1#+!In$^Qh+)wEZaEuL+D0P|^NrRq{|9d{^MlX9>=?(dJZH_F zw|<)OGPZ5UNbd6j{K&*l4XgOVQlOU?RO=StZ2t<14_#ki10TSLyR(#5`Zt>&ezU)~G)t5C4(9W!{YU6wI2Gep&MoG^vyky-VO3=PyevLE5N2ok=_gkdv&x6FO1|b5TRpg0Z zY)<@A07tIXIu*X_Z^-sXy#kJQl23gF#NZU)@rt#mQ!17do~JeaBh0Ub9(>%QznO}UFg)R-#h3r8D&;N^egm>bS( zy=L7!W{V#D&(7xpoh>y_dkwz(6rTGUx(EIE`^^Qf?3G0Zwd6a+2l4rj(FWp{p90!edxN4g4js^E>C{pbSp7-pfM$$G(a@@Dg zAd$a_ro$He&jlt_Uj(sTANb$xx~>(fbplgQ@-n>Dy1*Kslu4bT@#Dtdy<=f*lm2N_ zS#?dDB#|Tcl!ZQ;@DfFF1t2&2bkZv&N2qa2^hQMIR#= zk|~yTwf1h9S4(nS0#7J7mk+;Zf7MwjQgQXV#;F}7&G6BTMTgd};3q%eG=oExk1VS7 zH7lRZ0+vw4eev&-hL{IaP0#H(Mw|uvw|?C-~{s3c`3wHQ2$g zd5Q&m;0yeP1xWXah4mF<>A}KpoivLmPcRNj_kKoNmnz7HdG3<>+fLz6&-o-fg*Ws>7a}Cz>0mAT zU$3mJmLwIv4Klbqf*Bo&PrqWPSQ^dRwU%Ou z6{uGoK>`X5xbIAvMB zCs%tayxlGBkQVQsQ=`rupORzzz(4m?Y=OMwt*0W{(fM~3{x1vahue~u%0(p-*3XNU!zQ}{*Y8$ucPp@Ytj}KC%s!#SCIj0>&&`}dZ5S(>MBB&-?TLz<)`W@8Uu-XTUXJj zRi>Vjeun&#i}#f5t!7Q!E2zvcy-B2nqB%Uqzl4NkeZd%Tfau^2?%A+=%&d^Po# w73a+%8bzMBZLbG`h6@kOd&3n$4(gW;a6P5Ep@AgOQ=cCENE79Th6{N9AD$P#&Hw-a diff --git a/embedded8266/user/user_main.c b/embedded8266/user/user_main.c index a047897..7c0dcab 100644 --- a/embedded8266/user/user_main.c +++ b/embedded8266/user/user_main.c @@ -53,7 +53,6 @@ static void NewFrame() { if( !COLORCHORD_ACTIVE ) return; - //uint8_t led_outs[NUM_LIN_LEDS*3]; int i; HandleFrameInfo(); @@ -67,7 +66,6 @@ static void NewFrame() break; }; - //SendSPI2812( ledOut, NUM_LIN_LEDS ); ws2812_push( ledOut, USE_NUM_LIN_LEDS * 3 ); } @@ -175,6 +173,10 @@ void ICACHE_FLASH_ATTR user_init(void) GPIO_OUTPUT_SET(GPIO_ID_PIN(0), 0); #endif + //Tricky: New recommendation is to connect GPIO14 to vcc for audio circuitry, so we turn this on by default. + GPIO_OUTPUT_SET( GPIO_ID_PIN(14), 1); + PIN_FUNC_SELECT( PERIPHS_IO_MUX_MTMS_U, 3 ); + CSPreInit(); pUdpServer = (struct espconn *)os_zalloc(sizeof(struct espconn));